Review: Electrostatically actuated nanobeam-based nanoelectromechanical switches – materials solutions and operational conditions

  1. 1 ,
  2. 1 ,
  3. 1 ,
  4. 1 and
  5. 1,2
1Institute of Chemical Physics
2Department of Chemistry, University of Latvia, Raina Blvd. 19, Riga, LV-1586, Latvia
  1. Corresponding author email
Associate Editor: J. Frommer
Beilstein J. Nanotechnol. 2018, 9, 271–300. https://doi.org/10.3762/bjnano.9.29
Received 20 Feb 2017, Accepted 25 Dec 2017, Published 25 Jan 2018
Review
cc by logo
Album

Abstract

This review summarizes relevant research in the field of electrostatically actuated nanobeam-based nanoelectromechanical (NEM) switches. The main switch architectures and structural elements are briefly described and compared. Investigation methods that allow for exploring coupled electromechanical interactions as well as studies of mechanically or electrically induced effects are covered. An examination of the complex nanocontact behaviour during various stages of the switching cycle is provided. The choice of the switching element and the electrode is addressed from the materials perspective, detailing the benefits and drawbacks for each. An overview of experimentally demonstrated NEM switching devices is provided, and together with their operational parameters, the reliability issues and impact of the operating environment are discussed. Finally, the most common NEM switch failure modes and the physical mechanisms behind them are reviewed and solutions proposed.

Review

Introduction

Nanoelectromechanical (NEM) switches represent a class of nanoscale devices, integrating both electrical and mechanical functionality of nanostructures to process external stimuli applied to the device and controlling the electrical current. NEM switches have attracted attention as low-power [1] devices, demonstrating abrupt on/off switching characteristics and minimized sub-threshold swing, as well as reduced leakage currents leading to improved on/off ratios [2]. In the context of existing microelectromechanical (MEM) switches, downsizing to the nanoscale leads to lower power consumption, increased switching speed, integration density and higher precision. While the characteristic dimensions of MEM switch components are between 1 µm and 1 mm, for the NEM scale devices, it is below 100 nm. This means that NEM switches combine the advantages of a smaller mass with a higher surface area to volume ratio. When the linear dimension scale decreases by three orders of magnitude, the area and volume decrease by six and nine orders of magnitude, respectively. Surface forces, proportional to area, become a thousand times larger than forces that are proportional to volume, making inertial forces negligible. At the nanoscale, van der Waals, capillary and electrostatic forces become the governing factors. It is important to note, that with the decrease of the size scale, breakdown of the predictions of continuum-based theories may occur. For instance, for cantilevered resonating nanostructures, continuum mechanics predictions fail when the cross-sectional area of the nanostructure is on the order of tens of lattice constants [3]. At this level, quantum effects, crystalline perfection, surface and interface interactions govern materials properties and behaviour [4]. Thus, NEM switches provide an exciting opportunity for gaining fundamental insight in such fields as surface science and electrical and mechanical processes in nanocontacts.

The NEM switch components can be produced from a wide range of nanostructures (e.g., thin films [5-7], nanobundles [8], nanowires [9-14], nanotubes [15,16]) fabricated from different materials (e.g., metals [17-19], semiconductors [9,10,20-23], carbon allotropes, including graphene [24-31] and carbon nanotubes [12,15,16,32-37]). With a proper choice of material and architecture, NEM switches can withstand relatively high radiation levels and extreme temperatures [19,25,38,39], highlighting their potential for applications in harsh environments.

Currently, the investigation of NEM switches is mostly focused on developing experimental approaches for device prototype fabrication and testing in laboratory environment and theoretical modelling based on continuum mechanics and molecular dynamics, allowing simulations to be performed on the processes occurring in NEM switching devices and the analysis of their working parameters [40-46]. A common approach for experimental investigation on NEM switches includes device fabrication and testing on chip. The fabrication can be based either on entirely top-down, or on a combination of top-down, bottom-up and nanomanipulation approaches. The top-down approach involves lithography, etching and coating technologies to fabricate device structures from bulk materials or thin films [7,19,23,39,47-50]. The combined approach of fabricating NEM switches requires subsequent transfer and alignment of synthesized nanostructures (nanowires, nanotubes, nanorods, graphene) with a good uniformity and desired properties. The microfabrication routine may be supplemented with some bottom-up approaches. Dielectrophoresis [33], controlled nanomaterial growth [34], and nanomanipulation [9] have been demonstrated as useful methods for small batch device fabrication with future prospects for scalable production of NEM switches on chip.

Another approach for fabrication and characterization of NEM device prototypes is in situ measurement technique, where the switch elements are positioned using nanomanipulators inside an electron microscope [8,10-15]. In situ studies of the dynamics of force interactions, conductance and adhesion in gold point contacts using combined transmission electron microscopy/scanning tunnelling microscopy (TEM-STM) [51,52] and atomic force microscopy/transmission electron microscopy (AFM-TEM) [53] showed suitability of these techniques for further NEM switch related research. A major advantage of in situ experiments is that the device geometry and operation can be visualized and adjusted in real time within one experimental session. This allows optimization of the geometry of a NEM device, avoiding the need for individual device fabrication of each control parameter. This approach is favourable for fundamental research on specific parts and simulation of processes in a NEM switch, where the assembly of the whole device is not required. Real-time visualization helps to evaluate the contact area [8,10,11,54], observe the electrical breakdown mechanism for a single nanostructure [55-58], and investigate the dynamic processes occurring in the switch nanocontact [54].

The durability of a NEM switch strongly depends on the evolution of the contact between the switching element and the contact electrode during NEM switch operation. The increase of adhesion in the contact or its conductivity reduction down to the noise level with repetitive switching degrades the device stability and often leads to device failure. The state of the art lifetime of NEM switches varies from one-off laboratory-scale measurements [59], demonstrating a few tens [10,11,26,47,49,60] of switching cycles, up to devices showing 104–108 [12,18,19,38,39,61] switching cycles. However, to achieve a technology readiness level suitable for commercial applications, NEM switches should endure up to 1015 cycles without failing [59,62,63]. Thus, the reliability of NEM switches is one of the most critical issues that slow down wider adoption of this technology. Further efforts are necessary to select the material combination and suitable architecture that meet the requirements for commercial applications.

Actuation of NEM switches includes a variety of methods, for example, electrostatic [7,12], thermal [64], piezoelectric [65], resonant [66] and free-floating [67] switching. Electrostatic actuation is one of the most widespread and actively studied actuation modes. It is a promising method for operating nanosized switches due to its simple process requirements. More advantages of the electrostatically actuated NEM contact switches are temperature-independent actuation characteristics and reduction in power consumption with scaling. The device configurations where electrostatically actuated single- or double-clamped nanobeams are used as switching elements have great potential for architecture modification of the device and are widely used in development of NEM contact switch prototypes. The aim of this work is to review recent research carried out on electrostatically actuated nanobeam-based NEM contact switches, fabricated by different methods. The processes occurring in nanocontacts and the improvement of their reliability in terms of choice of materials for both electrodes and switching elements are covered in the light of the experimental findings in the field. Methods and approaches for investigating the behaviour of NEM switches as well as environmental considerations and failure modes are discussed. This review does not cover research into the use of other types of NEM switches based on, for example, free-floating [67] or resonant switching [66] principles, as well as thermal [64] or piezoelectric [65] actuation methods.

Main architectures and basic operational principles of electrostatically actuated nanobeam-based NEM switches

In general, electrostatically actuated nanobeam-based NEM switches can be divided into two main groups: two-terminal (2T) switches, employing only source and drain electrodes, and three-terminal (3T) and more terminal switches, employing an additional single or multiple gate electrodes in single-clamped and double-clamped configurations.

Two-terminal NEM switches

The full NEM switching cycle consists of establishment of a mechanical and electrical contact between a switching element and a contact electrode, which is followed by electrical-current-assisted processes in the on state, and a subsequent disengagement of both electrical and mechanical contacts while switching back to the off state. The switching cycle is based on balancing attractive (van der Waals (FvdW) and electrostatic (Felec)) and repulsive elastic (Felas) forces acting on a movable switching element in single (Figure 1a) or double-clamped (Figure 1c) position, and initially separated from the contact electrode by a distance z.

[2190-4286-9-29-1]

Figure 1: Schematics of electrostatically actuated 2T NEM nanobeam-based switches. Top panel: single-clamped 2T NEM switch in a) off and b) on state. Middle panel: double-clamped 2T NEM switch in c) off and d) on state. Bottom panel: single-clamped NEM switch with multiple drain electrodes in e) off and f) on state.

The operation principle of a NEM switch can be described from the viewpoint of potential energy E = ∫ F dz of the switching element, where F is the force acting on the switching element and z is the separation distance between the switching element and the contact electrode. Its total potential energy can be expressed as follows:

[2190-4286-9-29-i1]
(1)

where EvdW is the van der Waals (vdW) energy, Eelas is the elastic energy and Eelec is the electrostatic energy. An example of potential energy diagrams for the switching element–electrode interactions is shown in Figure 2a [13]. At low or no electrostatic field applied between the switching element and electrode there are two local minima located respectively at an initial switching element/electrode separation distance z0, and at a few nanometres from the electrode surface zvdW. The minimum located close to the electrode surface (at distance zvdW) is related to attractive vdW forces. The minimum located at z0 is related to the off state of the NEM switch, where the elastic energy of switching element that is freely suspended over the electrode is minimal (Figure 1a, c; Figure 2a solid line). For z0 >> zvdW, the ET(z) curve would be symmetric with respect to the minimum located at z0. However, at close distances between the switching element and the electrode surface, the vdW interaction energy sufficiently reduces the total potential energy. Applying an increasingly larger electrostatic force diminishes the potential barrier until its complete elimination (Figure 2a dashed and dotted lines) and results in deflection of the switching element towards the contact electrode. For on and off states of a NEM switch to remain stable in the on state at room temperature, the potential barrier between energy minima must be much larger than 10kBT (kB is the Boltzmann constant and T is temperature) (Figure 2a, inset) [32].

[2190-4286-9-29-2]

Figure 2: a) Plots of total energy ET calculated for a Ge nanowire-based NEM device at different electrostatic potentials. The electrode surface is located at z = 0. Inset shows the energy barrier between the two stable (on/off) minima in relation to 10kBT. Reprinted with permission from [13], copyright 2004 AIPP. b) Comparison between experimentally measured (diamonds) and theoretically predicted (solid line) switching cycles for a carbon nanotube-based 2T bistable NEM switch, showing a sharp transition to the on state and a typical hysteresis behaviour. Reprinted with permission from [15], copyright 2006 John Wiley & Sons, Inc.

When the gradient of total attractive force exceeds the spring constant of the switching element approaching the electrode surface, the switching element starts accelerating. This is followed by establishment of mechanical and electrical contact between them (jump-in), and consequently, initiation of a current flow in the circuit (Figure 1b,d; Figure 2b) [13,15,32,40,68].

The jump-in voltage depends on the geometry of the device and the stiffness of the switching element. Switching from the on to off state (jump-off) occurs when the spring constant of the switching element exceeds the gradient of the total attractive force Fadh at the contact between the switching element and the electrode, and can be seen in I(V) curves as a sudden decrease of electrical current down to the noise level (Figure 2b).

Since operation of NEM switches is substantially determined by adhesion forces due to significant contribution of vdW forces [69], jump-off occurs at lower voltages in comparison to jump-in, and typical hysteresis loops in I(V) curves of NEM switches are observed [8,10,15]. A hysteresis loop is illustrated in Figure 2b showing experimentally obtained results [15] in comparison with the results of calculations assuming that the switching element is a uniform linear elastic beam and a perfect conductor [41,42].

To expand the functionality of the 2T configuration switch, specially designed semi-paddle structures, allowing torsional movement of the switching element and consequently three different operation states can be used, as it was shown for a 2T TiN NEM switch [48] (Figure 3).

[2190-4286-9-29-3]

Figure 3: Operation of a 2T switch with a semi-paddle configuration. a) Image of the fabricated switch and schematic representation of its operational states and b) switching characteristics of the device, showing three operation states A (off), B (torsional movement) and C (flexural movement). Reprinted with permission from [48], copyright 2014 AIPP.

The off state is established when the switching element is separated from the contact electrode (Figure 3, state A), and two successive on states with different pull-in voltages (Figure 3, states B and C) can be established by making contact between the switching element and the electrode due to either torsional or flexural movement of the paddle anchors. Such architecture may serve for memory and logic applications.

A further variation of the 2T NEM switch is to use multiple drain electrodes. Recently, switching of a moving element (Ge nanowire) between two drain electrodes located symmetrically (Figure 1e,f drains 1 and 2) [14] and asymmetrically (Figure 1e,f drains 1 and 3) [11] relative to the switching element in 2T configuration was demonstrated. While switching between symmetrically located drain electrodes may be applied for switching between two circuits (Figure 4), the location of the drain electrodes at different distances from the attached end of the switching element (Figure 1e,f drains 1 and 3) allows varying of resistance, and consequently, signal strength in the circuit by adjusting the length of the switching element connected to it.

[2190-4286-9-29-4]

Figure 4: a) Example of 2T nanowire-based NEM switching between two symmetrically located drain electrodes and b) corresponding current indicating the switching events. Square voltage pulses alternately applied to the drain electrodes are represented by the red line (secondary axis). Reprinted with permission from [14], copyright 2013 Andzane et al.

Three-terminal NEM switches

In a 3T single-clamped configuration, an additional gate electrode is used to pull the switching element in contact with the drain (Figure 5).

[2190-4286-9-29-5]

Figure 5: Schematics of electrostatically actuated 3T NEM nanobeam-based switches. Top panel: single-clamped 3T NEM switch in a) off and b) on state. Bottom panel: double-clamped 3T NEM switch in c) off and d) on state.

In comparison with 2T switches, where minimal operating voltage in the on state is fundamentally limited by the Voff voltage (Figure 2b), the use of gate electrodes allows adjustment of the source–drain voltage independent from the Von and Voff voltages. Switching to the off position may be realized by applying the same potential to the gate 1 and drain 1, resulting in repulsive electrostatic force (Figure 5b), or by applying attractive electrostatic force between the source and the gate 2 in single-clamped configuration (Figure 5a) and between the source and the gate in double-clamped configuration (Figure 5d). In comparison with 2T NEM switches, the possibility to apply an additional restoring force to the switching element in the 3T configuration reduces the requirements to elastic properties (stiffness) of the active element necessary for switching to the off position and allows reducing the jump-in voltage by diminishing the separation gap width. However, the gap cannot be smaller than the critical distance at which vdW interactions become dominant. For nanostructures, the jump-in-contact of a switching element may occur from larger distances than theoretically predicted by the vdW interactions [53].

The strong nanoscale contact adhesion, comprising an unusually strong tangential component of adhesion force [70], often poses difficulties in returning the NEM switch to its off position. Inducing resonant oscillations in the NEM switching element in the on position was found to be an effective solution for its release from the contact. The resonant oscillation modes induced in the switching element by an external AC field (Figure 6a) [11] or mechanically (Figure 6b) [71] allowed to successfully overcome the adhesion potential in the contact and allowed for reduction of the operating voltage of the NEM switch [11] or a separation gap necessary for the release of the switching element from the contact [71] by nearly an order of magnitude.

[2190-4286-9-29-6]

Figure 6: Resonant oscillations of the switching element as an effective solution to overcome on-state adhesion. a) Illustration of resonance-assisted detachment of a Ge nanowire (left panel) and corresponding electrical current measured during the nanowire switching process (right panel). Ge nanowire has been switched between two electrodes by applying a combined AC–DC field between the nanowire and the counter electrode. Reprinted with permission from [11], copyright 2013 Royal Society of Chemistry. b) Resonance-assisted release of a carbon nanotube from the contact with electrode (left panel) and corresponding reduction of separation gap (right panel). Reprinted with permission from [71], copyright 2013 AIPP.

Processes in NEM switch contacts

A reliable NEM switch contact is required to maintain stable characteristics over repetitive operation cycles. Assuming the switch is in an optimal operational environment, the contact properties are mostly defined by the contacting material properties and the real contact area. In non-vacuum environments the presence of contaminants can significantly impact these processes, as will be discussed later in this review. Despite the importance of understanding of the nanocontact evolution, only a few papers have been published with partial experimental analysis of the contact area and its influence on switching characteristics [8,10]. This section gives a brief overview of the main types of processes occurring in the nanocontacts during the operation of a NEM switch.

Mechanical contact

The size of the mechanical contact determines the adhesion force (Fadh) value which is responsible for keeping the contacting surfaces together. Fundamentally, the contact is formed by atoms interacting across the contact interface. At the nanoscale, many models of nanocontact behaviour are based on a single-asperity model, where contacting elements are represented by single asperities with curvature radii from tens of nanometres to micrometres and are assumed to be ideally smooth [72].

According to adhesion theories [73-76], the adhesion force can be evaluated as FadhR·Δγ, where R is radius of contact area and Δγ is energy of adhesion: Δγ = γ1 + γ2 − γ12, where γ1 and γ2 are the surface energy of the contacting surfaces, and γ12 is the interfacial energy in the contact. γ12 = 0 if both surfaces are of the same material. Thus, the surface energy of the contacting materials is a critical factor in determining the strength of adhesion in the contact in line with the contact area.

It should be noted that a real contact may consist of a number of smaller asperities (multiasperity contact) so the true contact area is smaller than predicted by the single-asperity theory. However, simulations performed by Mo et al. [77] for multiasperity contacts showed an excellent fit of this model with experimental data and the widely used Maugis–Dugdale single asperity adhesive theory model [78]. Despite the fact that such a good fit may be a result of the flexibility of the Maugis–Dugdale model, which masks its deficiencies [77], this model is a useful tool for the evaluation of adhesion in nanocontacts.

Estimations of nanocontact areas of 2T NEM switches with Ge and Mo6S3I6 nanowires as switching elements and Au contact electrodes [8,10], performed using a convenient approximation for the Maugis–Dugdale theory of adhesion [74] proposed by Carpick, Ogletree and Salmeron [73], showed that the typical contact area between the switching element and the Au contact electrode is 30–50 and 400–700 times smaller than the cross-sectional area of Ge and Mo6S3I6 switching elements, respectively [8,10] (Table 1). Thus, the contact area experiences higher current density than that inside the nanowire. This should be taken into account during analysis performed on NEM switch operation.

Table 1: Parameters of nanocontact areas (nanowire radius rnw, cross-sectional area of the nanowire Snw, radius of electrode apex Re, nanocontact area Sc) in Ge and Mo6S3I6 nanowire-based 2T NEM contact switches.

Material rnw (nm) Snw (nm2∙103) Re (nm) Sc, (nm2) Snw/Sc
Ge [10] 30 2.8 100 78 36
150 70.7 115 331 214
75 17.7 420 321 55
50 7.9 1300 259 30
60 11.3 600 218 52
Ge [11] 50 7.9 965 8
Mo6S3I6 [8] 100 31.4 100 314
100 31.4 45 698

The nanocontact area and stiffness of the switching element determine the on–off hysteresis width of a NEM switch. With the same switching element, reduction of the contact area in 2T NEM switches results in a decrease of adhesion in the contact and consequent decrease of the hysteresis width, allowing reduction of the separation gap z for jump-in at lower voltages. Figure 7 illustrates the decrease of the hysteresis width for a Mo6S3I6 nanowire bundle-based 2T NEM switch, when the Mo6S3I6 –Au contact area was reduced from 100 nm2 down to 45 nm2 while maintaining the same Von voltage.

[2190-4286-9-29-7]

Figure 7: Switching cycles of Mo6S3I6 nanowire-based NEM switch illustrating the impact of the contact area on hysteresis width: a) VonVoff hysteresis loop for the contact area of 100 nm2 (shown in the inset), and b) for the contact area of 45 nm2 (shown in the inset). Reprinted with permission from [8], copyright 2010 IOP Publishing.

However, such reduction of the contact area results in an increase of the electrical current density flowing through it, which may lead to modification of the properties of the contacting materials. Alternatively, modifying the stiffness of the switching element can shift the jump-in and jump-off voltages and thus change the on–off hysteretic loop.

Adhesion in the contact may be impacted by the surface wear occurring during repetitive on–off switching. An AFM-based study on the nanoscale wear of diamond-like carbon against and ultra-nanocrystalline diamond showed that the surface wear increases the size of the contact by gradually removing atoms at discrete sites and is a thermally activated stress-assisted process [79]. This experiment was carried out with an AFM in amplitude modulation mode complemented with molecular dynamics simulations. An exponential wear rate dependence on the peak force load was found, suggesting that lower contact forces are needed to reduce the wear rate. It should be noted that for soft materials, plastic deformation may have a bigger effect than wear.

The presence of chemically active elements at the contact interface may also significantly increase the adhesion in nanocontacts due to formation of chemical bonds (e.g., C–Au bonds [80], Au–S bonds [8,81]) between the contacting materials. Nearly an order of magnitude increase in adhesion force was reported in case of covalent Au–S bond formation for Mo6S3I6 nanowire-based NEM 2T switches [8].

Electrical field and current induced processes in the contact

The electrical characteristics and performance of a NEM switch are determined by the electrical properties of the contact area between the NEM switching element and electrode. It should be noted that the true contact area does not need to be continuous, which becomes relevant when contact areas approach the size of the mean free path length of the electrons (e.g., for gold nanocontacts – 3.8 nm [82]). When the contact area becomes smaller than the mean free path of the electrons in the material, the electron transport enters ballistic conduction regime [83]. Nevertheless, typically, the metal–metal contact shows ohmic characteristics, which are preferable for low-power NEM switches, but carry the risk of switch failure at higher operation voltages.

In NEM switches with metal–semiconductor contacts, the type of the contact is determined by the mutual arrangement of the Fermi level of the metal and the valence and conduction bands of the semiconductor. The contact has a Schottky barrier if the Fermi level of the metal falls in between the valence and conduction bands of the semiconductor. The type of the contact (ohmic or Schottky) between two semiconductors is determined by the Fermi energies of contacting materials.

The presence of a nonconductive oxide layer between the contacting materials (metal–metal, metal–semiconductor, and semiconductor–semiconductor) always results in the formation of a tunnel barrier in the contact.

In the presence of a potential barrier between the contacting NEM switch materials, the magnitude of the contact resistance depends on the width and height of the barrier. In general, the interfacial charge carrier transfer in the NEM contact can be based on two different mechanisms: thermionic emission [84,85], which is dominant at high temperatures, and quantum mechanical tunnelling of carriers across the barrier width – direct [85,86] and Fowler–Nordheim (FN) tunnelling [54,85,87,88]. The direct tunnelling occurs when the barrier is trapezoidal, and the FN tunnelling occurs when the barrier is triangular [10,89,90]. The shape of the initial potential barrier in the NEM switch contact depends on the size and topography of the contact area, as well as on the band structure of contacting materials [86], and can be modulated by an applied source–drain bias in the on state of a NEM switch. For example, the change in the transport mechanism from direct tunnelling at low drain bias to FN tunnelling at the higher drain bias was shown for a Pd–MoS2 interface at low (123 K) temperatures [85].

Studies of intimate ZnO nanowire–Au contacts have also shown that the mechanism of nanoscale electrical transport through the potential barrier depends on the relation between contact area and diameter of the nanowire, allowing a controllable transition from Schottky to ohmic type of conduction for smaller contact area/nanowire diameter ratios (Figure 8a,b) [91]. This effect was explained by enhanced tunnelling at the contact edge as a result of the reduction of the depletion region (Figure 8c) [91]. This allows a good ohmic contact to be obtained at a semiconductor/metal interface by only changing the contact area/nanowire diameter ratio.

[2190-4286-9-29-8]

Figure 8: Size-dependent tuning of the mechanism of electrical conduction through a nanocontact. a) Experimental measurements of an Au–ZnO interface carried out for different Au particle/ZnO nanowire diameter ratios RAu; b) Corresponding I(V) characteristics. c) A model of the current density of the top face of a nanowire with diameter of 60 nm and RAu = 0.8. The dashed line is the edge of the contact interface. Adapted with permission from [91], copyright 2015 American Chemical Society.

As soon as the electric current begins to flow in the NEM switch contact, it causes thermal heating in the switching element and may result in small structural modifications of the contacting surfaces, welding and even change of the chemical composition of the contacting materials. Electrical-current-induced thermal effects have been studied in various one-dimensional nanostructures such as Si [92], Ge [10,54], carbon nanotubes (CNTs) [93-95], GaN [96,97] and ZnTe [98].

The evolution of a nanocontact between a nanowire and a contact electrode may be observed and investigated using in situ methods. Recent report showed that in situ detection of the resonant frequency of the nanowire and monitoring of its shift mirrors the electrical-current-induced strengthening of the nanocontact between the Ge nanowire and the contact electrode [54] (Figure 9a). Applying a voltage between the electrodes 2 and 3 results in a current flow through the nanowire. After each voltage application, the AC field is applied between the electrode 1 and the nanowire (electrodes 2 and 3), and the resonant frequency is determined. Electric current flow through the contact causes immediate strengthening of the nanocontact, which gradually develops until the current density of 10−3–10−2 nA/nm2 (Figure 9b) is reached.

[2190-4286-9-29-9]

Figure 9: a) Experimental setup for in situ SEM investigation of processes occurring in nanocontacts. b) Graph illustrating the relative change of the resonance frequency of the nanowire and current density j in the contact area versus voltage applied to the nanowire. Reprinted with permission from [54], copyright 2015 IOP Publishing.

Self-heating behaviour in the switching element/electrode contact is determined by a combination of its electrical and thermal conductivity [10,96,99]. If there is a low current density in the contact (in the range of 1 pA/nm2) and a good thermal contact, the temperature changes modestly (ΔT < 30 K) and almost no Joule heating occurs [10]. However, even at current densities as low as 3 pA/nm2, energy dissipation in the contact may result in a smoothening of the contacting surfaces because of local Joule heating and welding. The contact strengthening effect in Figure 9b was explained by smoothing and thinning of the native Ge oxide layer which results in an increase of contact area and adhesion force [54].

At higher current densities (1–10 nA/nm2) a Joule heating induced rise of temperature (in the range of 1000 °C) may trigger a change of chemical composition of the material. For example, for Mo6S3I6 bundles, a non-reversible transformation to Mo was reported, as a result of evaporation of S and I [100]. This effect may be used to anneal nanowires for enhancing electrical and field emission properties [100].

Current densities higher than 10 nA/nm2 were reported to be applicable for Joule heating induced local welding of Ag (12 nA/nm2) [101] and Pt (up to 14.5 nA/nm2) [102,103] nanowires, as well as for welding of dissimilar materials.

To avoid high current densities, which is mostly a concern in 2T NEM switches operating at high voltages, a thin insulating layer between the contacting surfaces has been used [10,13,50]. Another solution for the limitation of the current density at the jump-in moment for NEM switches is the connection of series resistance of 25–500 MΩ in the circuit [8,10,13,17].

The electrical field between NEM switch elements may give rise to material transfer [104,105]. During switching to the on state, a reduction of the separation gap between the electrodes prior to their mechanical contact results in an increase of the electrostatic field between them, especially at their highest asperities [105], where the electrostatic field achieves high enough (≈108 V/m) values to induce FN electron emission from the electrode (cathode). This process causes a temperature increase of the other electrode (anode), resulting in thermal evaporation of the electrode material and its transfer to the opposite electrode. For metal electrodes, the material transfer issues are reported for the source–drain bias exceeding 5 V. When the contact electrodes are made of two different materials [106], material transfer results in an increase of adhesion in the contact and also makes the surfaces rougher, thus increasing resistance in the contact. For self-mated contacts, for example, in an all-molybdenum switch, material transfer was reported to be a possible cause for the observed contact resistance rise after approximately 104 switching cycles operating at 1 V drain voltage in a 3T configuration with 100 nm gap between the beam and the drain electrode [19].

Choice of material for the NEM switching element

The material properties (Young’s modulus, free surface energy, electrical conductivity, melting temperature) govern device performance (switching speed, range of operational voltage and current, reliability and durability), as well as dictate suitable fabrication approaches. The following subsections provide a brief overview of materials and material combinations used in the fabrication of active elements of NEM contact switches.

A comparison of the physical properties of the materials, together with the reported fabrication approaches, advantages and challenges for each of the material classes, and their prospective applications, summarizes the overviewed materials for NEM switching elements in a concluding subsection.

Metals

Metal–metal contacts in NEM switches are particularly advantageous for radio frequency (RF) applications due to their low electrical resistance. Experimental studies of elastic properties of metals, supported by atomistic simulations, have revealed several different ways the size depends on elastic properties: (1) increase in the Young’s modulus of metallic nanowires relative to the bulk value of the metal, as their diameters are reduced (e.g., Ag and Pd [107-109] nanowires); (2) decrease of Young’s modulus with decreasing size, for example, for Cr nanocantilevers [110]; (3) Young’s modulus shows almost no dependence on the diameter of metal nanowires, for example, for Au [111]. The change of the Young’s modulus can be explained by an increased influence of the surface atoms on the overall elastic behaviour of the nanostructure at sizes below a few nanometres [112,113], or by the noncrystalline structure of the studied samples [110]. Atomistic simulations for fcc noble metals [113] showed that either a decrease or increase of the Young’s modulus for metallic crystalline nanowires can be achieved by variation of their size and operation temperature. The possibility to tune the Young’s modulus by changing an element size combined with facile integration with existing complementary metal oxide semiconductor (CMOS) devices, make metals attractive candidates for the use in NEM switches. However, metal-based NEM components with nanometre-scale dimensions are difficult to fabricate due to their high intrinsic stress, large surface roughness and grain size, inherent porosity, and low strength. To date, there are rather few reports on metallic NEM switches [17,18,114,115].

Molybdenum is attractive as a NEM switch material due to its high melting temperature (2622 °C [116]) and Young’s modulus (290-380 GPa) [117]. Recent reports on Mo-based NEM switches have proven the robustness of the material. An all-molybdenum 3T NEM switch was fabricated by a top-down approach by filling Mo into a SiO2 mold, prepared by a one-mask photolithography process. This process was followed by etching of the SiO2 sacrificial layer for the release of Mo switching structures [19,115]. Switches with 300 nm thick and 500–700 nm wide switching elements with lengths 28–40 µm showed jump-in voltages in the range of 12–24 V for separation gaps of 100–150 nm. Cycling tests performed with Mo-based switches showed 100% repeatable operation of the switch with aforementioned dimensions of the switching element [115], as well as stable operation up to 20,000 switching cycles in vacuum at 300 °C [19]. The low subthreshold swing of 2.5 mV/decade was kept until the very end of the cycling tests [19]. The reliability of Mo-based NEM switches was found to be size-dependent due to the influence of residual stress in the material on the shape of the switching element.

Copper has been used as a NEM switch structural material to increase the feasibility of fabrication benefitting from a commercial CMOS technology [17]. 2T switches have been fabricated using the back end of line (BEOL) Cu layers of a commercial 65 nm CMOS technology. As-fabricated NEM switches showed jump-in voltages as low as 5.5 V, a good on/off ratio (103), and high miniaturisation level, surpassing other [17,23,49,114] top-down NEM switch fabrication approaches.

Similarly to copper, the fabrication of a platinum cantilever NEM switching element involved an additional thermal annealing step at 300 °C to reduce the stress gradient in the beam. The usability of platinum for electron-beam lithography-based fabrication of NEM relay-only and CMOS–NEM hybrid circuits was demonstrated. Platinum cantilevers with thickness of ≈60–70 nm, length of ≈3.2–3.5 μm and gap of 100 nm, showed a jump-in voltage of 3.3 V in 3T configuration, and 5–6 V in the CMOS–NEM hybrid circuit [114].

Ruthenium also allows CMOS compatible fabrication in addition to such benefits as high stiffness (Young’s modulus 447 GPa), hardness (5 GPa), and high melting point (2333 °C [116]). Ru-based NEM relays with small gap widths have shown an even smaller coupling area than that of Cu-based devices, with a pull-in voltage of 5 V [18], together with the best-achieved turn-on delay of 400 ns. When tested for durability, the Ru device withstood more than 2 × 106 switching cycles at 1 kHz frequency. However, when downscaling Ru NEM switches, residual stress must be accurately controlled to avoid the buckling of beams after etching of the sacrificial layer. Another concern is the edge roughness of the as-fabricated beams that leads to variation in jump-in voltages and contact resistances for a given design [18].

To our knowledge, metal alloys used as NEM switching elements have been reported in only one study using a TiW switching element and W as the contact electrode [49]. A jump-in voltage lower than 1 V and on/off current ratio higher than 105 were demonstrated for this 2T NEM switch, employing an innovative “pipe-clip” architecture. However, the device showed poor reliability with marked deterioration in performance after 10–20 switching cycles. This was attributed to physical degradation of contacts, as well as formation of Ti and W oxides during the device processing.

Carbon allotropes

Carbon nanotubes: Carbon nanotubes have diameters ranging from the subnanometre range to tens of nanometres and may exhibit length-to-diameter ratios of up to 132,000,000:1 [118], which is significantly larger than for any other material, and thus could offer improved sensitivity. They also possess extraordinary mechanical strength (Young’s modulus up to 1 TPa [119,120]), high thermal (2–6 kW∙m−1∙K−1 [121]) and electrical (106–107 S/m) conductivity.

Several CNT-based relays and switches have been fabricated using the bottom-up arrangement of CNTs, including dielectrophoresis [33], controlled growth of CNTs [34,37], dispersion coating [12,35,36], nanomanipulation [15,32] techniques and electron beam lithography/metal sputtering for the fabrication of electrical contacts. CNT-based NEM switches exhibit a high on/off current ratio (104–105) [59] and switching speed (≈1 ns) [37] in combination with a jump-in voltage that can be as low as a few volts [35,37]. Yet, most of these devices were unique laboratory scale demonstrations. To the best of our knowledge, the highest durability (106 on/off cycles, 10 ns response time) of a 2T CNT-based switch was demonstrated by Loh et al. for carbon–carbon contacts, using multiwall CNT as a switching element and diamond-like carbon (DLC) as a contact material [12]. However, the reported actuation voltages for these switches were relatively high at 20–40 V. The high current density that is caused by the high actuation voltage in CNTs during switching cycles can be lowered by the use of an insulating layer [15] or by the choice of the appropriate type of CNTs. For example, studies performed on properties and breaking parameters of different types of CNTs found that bamboo-like multiwall CNTs are the most suitable for applications in NEM contact switches due to much higher (≥25 V) burn-off failure voltages than for tube-like multiwall CNTs (4–5 V) [122].

Besides individual CNTs, films consisting of many CNTs have also been employed in the fabrication of NEM switches. Networks of CNTs are currently under ongoing research for use in 2T non-volatile memory devices, to date showing good reliability of ≈1012 non-volatile switching cycles with no observable wear or fatigue and read–write voltages below 5 V [123]. Here, the switching to the off state is achieved by applying a voltage pulse, presumably causing a phonon heating driven repulsion force [123].

However, practical challenges for the use of CNTs in NEM contact switches still remain, such as dependence of electrical properties of CNTs on mechanical strain [124,125], their electrical breakdown and mass loss caused by field evaporation [126].

Graphene: For fabricating NEM switches, monolayer [24-27] as well as few-layer [28-31] graphene materials are used. The mechanical properties are decisive for selecting the number of layers of the graphene structure [26]. Few-layer graphene is more favourable for reversible switching due to higher stiffness than single-layer graphene, but it requires larger jump-in voltages as a consequence. Graphene NEM switches are fabricated using dry or polymer-assisted transfer techniques of chemical vapour deposition (CVD)-synthesized or mechanically exfoliated graphene flakes to the desired position on the substrate, and photo- and electron-beam lithography and metal sputtering techniques for fabrication of the electrical contacts. A SiO2 sacrificial layer may be used for the release of graphene switching elements.

When the bending occurs along the length, and the normal stress along the width is negligible, the narrow graphene strip behaves as a nanobeam [127]. Graphene switches reported to date are primarily 2T and operate by deflecting the double-clamped graphene beam suspended over the drain electrode. Another architecture of graphene-based devices includes circularly clamped graphene switching elements [25]. Such devices can operate in 2T or 3T configuration (Figure 10a) with sub-5 V actuation voltage and provide a “line” contact of graphene membrane during switching.

[2190-4286-9-29-10]

Figure 10: a) NEM switch with graphene sheet as the active element. Reprinted with permission from [25], copyright 2014 John Wiley & Sons, Inc. b) Fabrication process of a nanocrystalline graphene (NCG) based NEM switch with bottom (B) and top (T) electrodes. c) Optical and scanning electron microscope images of the as-fabricated device arrays. Reprinted with permission from [27], copyright 2016 Royal Society of Chemistry.

The typical number of on/off cycles performed by graphene-based devices varies from 4–30 [60] up to 5000 [26]. These switches have demonstrated jump-in voltages below 1–3 V, high on/off ratios (≈105) and switching speeds on the order of 100 ns. The lack of reliability of graphene-based NEM contact switches is explained as follows: currently, large area graphene can be prepared by the CVD technique; synthesized by this method, graphene has a polycrystalline nature. Processes occurring at the grain boundaries of polycrystalline graphene as, for example, charge carrier scattering and mechanical stress, result in significant degradation of graphene properties and, consequently, poor performance of the CVD-graphene-based NEM switches. Also, the Young’s modulus of CVD graphene is only about 40% of that of exfoliated pristine graphene (0.4 TPa vs 0.98 TPa [128]). CVD graphene NEM switching elements with comparable properties to mechanically exfoliated pristine graphene were fabricated from a single CVD grown graphene domain [26]. However, NEM switch fabrication using CVD synthesis of graphene is a complicated method, as it is followed by polymer-assisted graphene transfer to an insulator substrate and a microfabrication process to configure the NEM switch, posing challenges for large-scale production.

To facilitate the fabrication of graphene-based devices, direct growth of nanocrystalline graphene on insulating substrates using regular thin film process techniques (example of growth process is shown in Figure 10b) has been reported [27]. The nanocrystalline graphene on insulator had a very low thickness, good uniformity, and a Young’s modulus comparable to mechanically exfoliated graphene [27]. Both single-crystalline and nanocrystalline graphene are promising for commercial integration in high-performance NEM switches regarding their physical properties. Similar to CNT [12], graphene NEM switches can find applications for data storage and logic [28]. Currently, scalable production methods of graphene requires temperatures of ≈800 °C [27] or higher, which are still too high for CMOS integration.

Semiconductors

Silicon and germanium: Both Si and Ge have a long history as semiconductor device materials. Comparable relatively high Young’s moduli (Si, 130–188 GPa [129] and Ge, 103–150 GPa) [130,131] make these materials useful for applications in NEM devices. Due to the possibility of anisotropic etching, Si is widely used in top-down fabrication of NEM switches [20-22,50,132]. Top-down Si-based NEM devices of different designs (for example, U-shaped dual-beam structure with capacitive paddle (Figure 11a) [20], torsional [133]) are typically fabricated from single- or polycrystalline Si substrates using a SiO2 layer as a sacrificial material to release free-standing elements [20-22,50,132,133]. 3T Si-based NEM switches can operate at jump-in voltages as low as 0.8 V [20].

[2190-4286-9-29-11]

Figure 11: a) Schematics and design of U-shaped dual Si beam NEM switch. Reprinted with permission from [20], copyright 2012 AIPP. b) I(V) characteristics of a Si-based NEM switch illustrating significant reduction of hysteresis loop from >2 V to 0.5 V when initial Pt contact (black line) was replaced by amorphous carbon (aC) coating (coloured lines). Reprinted with permission from [61], copyright 2014 IEEE.

Both Si and Ge nanowires are used in bottom-up fabricated NEM switches and in in situ testing of devices prototypes [9-11,13,14]. It was found that the presence of a native oxide layer on Si and Ge nanostructures implies some limitation on NEM switch operation at low voltages due to the high contact resistance expressed in low on/off ratio [9] and non-conductive gap below 2–4 V [10,13,54]. On the other hand, in 2T NEM switches, native oxide covered switching elements are able to operate without breakdown at voltages up to 40 V [10], required for repeatable on/off switching.

In terms of reliability, individual Ge nanowire-based 2T devices showed good durability with no signs of degradation during tens of switching cycles [10,11]. The operation at low on-state voltages is typically achieved by coating of Si or Ge NEM elements with some other conductive material like Au or Au alloys, Pt or amorphous carbon (aC) [9,61]. For example, coating Si NWs with metal (Au/Al) resulted in an improvement of the on/off current ratio by an order of magnitude [9], but coating of a Si-based NEM switch with amorphous carbon (aC) (Figure 11b) [61] allowed more than 108 switching cycles to be achieved. An alternative method of lowering the on-state voltage range is removing the native oxide layer from the nanowires’ surface [10,13].

Molybdenum–sulfur–iodine: Excellent functional properties of nanowires based on transition metal chalcogenide-halides, combined with easy synthesis and intrinsic absence of impurities make them attractive NEM switch elements. The properties of these materials include high electrical conductivity [134], good thermal and mechanical stability and ability to withstand high (up to 50 V) operational voltages [8]. Molybdenum–sulfur–iodine (Mo6S3I6) molecular wire bundles were investigated as NEM switching elements and as a contact material employing combined in situ TEM-nanomanipulation techniques aimed to determine how changes in the contact electrode material and geometry of 2T NEM switch influence the device characteristics [8]. The very low coefficient of friction of Mo6S3I6 (0.03 [135]) could help to reduce surface wear originating from the tangential forces in the NEM contact when switching to the on state [70].

Silicon carbide: Silicon carbide (SiC), well-known for its resistance to corrosion, has been widely explored for harsh environment applications where traditional semiconductor materials fail. In addition, it has tribological characteristics superior to those of Si. SiC is a wide bandgap (2.4–3.3 eV) semiconductor with a bulk Young’s modulus of 400–500 GPa [136] and high thermal conductivity on the order of 330 W∙m−1∙K−1 for bulk 3C–SiC [137], a larger than 1 MV cm−1 breakdown electric field as well as a high melting temperature. Regarding its elastic properties, despite the relatively large discrepancy in the results, the correlation between the diameter of SiC 1D nanostructures (down to 18 nm) and their Young’s modulus was not found. The Young’s moduli of 18–140 nm diameter SiC nanowires were determined to be in the range of 275–750 GPa [138,139], showing average value comparable with bulk values of 400–500 GPa [136]. Reported Q factors of electrically induced mechanical resonance of SiC nanowires varied from 3,500 to 160,000 [138].

SiC-based NEM switches fabricated by top-down approach have shown good durability. The approach involved electron beam lithography patterning and etching of the SiC layer deposited over the Si/SiO2 substrate and following release of SiC nanostructures by removing the SiO2 sacrificial layer. The as-fabricated 400–500 nm thick poly-SiC beam-based 3T switches showed stable operation during more than 106 cycles at both room temperature and as high as 500 °C [38,39]. Reducing the size of the switching element down to 25–50 nm resulted in the gradual structural deformation of the switching element [23]. SiC NEM switches with 25–50 nm thick switching elements exhibited unstable switching to the on state, lacking a distinct current rise (Figure 12a), presumably caused by the lower conductivity of SiC–SiC contacts in comparison to metals. The metallization of SiC switches with aluminium resulted in a sharper on-state current rise (Figure 12b), but reduced durability of these NEM switches down to a few or in some cases singular switching events [23].

[2190-4286-9-29-12]

Figure 12: Different jump-in I(V) characteristics of a) bare, thin SiC beams (SiC–SiC contact) showing gradual current rise and b) aluminium metallized SiC (Al–Al contact) showing abrupt transition to the on state (at 3 V). Reprinted with permission from [23], copyright 2010 American Chemical Society.

Ceramics

Titanium and tungsten nitride ceramics: Titanium nitride (TiN) has a low electrical resistivity that is comparable to some metals, high stiffness (Young’s modulus of 427–590 GPa) and high hardness, high melting temperature (2930 °C), high corrosion resistance [140], and low surface energy [141] – all beneficial properties for NEM switch applications. TiN is also one of the materials used in almost all standard surface and bulk micromachining, thus available from routine CMOS production, and it can be integrated in existing devices. Meanwhile TiN exhibits strong residual stress within the thin film layers which has to be taken into account for NEM switch applications as it can cause unwanted out-of-plane deformations of switching elements [6,142]. Therefore, specific treatments for switching elements such as high-temperature annealing is necessary to release the residual stress [142]. Typical actuation voltages reported for TiN NEM switches were in the range of 5 V [48] to 14 V [47]. The 35 nm thick TiN beam-based NEM switch with a TiN/W contact electrode coated with a 8 nm thin SiO2 layer and a 15 nm separation gap was operated in ambient air for more than 400 cycles with stable actuation voltages [47]. The jump-in voltage of TiN NEM switches can be lowered by using a harder material with higher melting temperature (for example, Al2O3) as the electrode coating [48]. Configuration of 2T TiN switches with three operation states (one off state and two on states with different jump-in voltages), presented in [48] can serve for memory and logic applications.

Amorphous tungsten nitride (WNx) was proposed by Mayet et al. [5] as a prospective high-quality structural material for top-down fabrication of NEM switches. The amorphous WNx thin film deposited using a tungsten target at room temperature has a Young’s modulus as high as 300 GPa, which is comparable to the widely used WNx protective coatings (300–390 GPa) [143]. 3T NEM switches were fabricated by a top-down approach using reactive ion sputtering over a SiO2 sacrificial layer, employing electron beam lithography and etching methods. Experimental results on these NEM switches, where both the switching element and the contact electrode were fabricated from WNx, indicated that this material is suitable for low-voltage switches. A NEM switch with design of relatively large dimensions (switching element size of 190 nm × 500 nm × 20 µm) [5] achieved a jump-in voltage of 0.8 V. High-contact resistance (10 MΩ) observed in the experiments indicated that these materials may be used in applications where current must be limited.

Comparison of NEM switching element materials

Although the above overviewed materials belong to different classes, their mechanical, electrical and thermal properties vary in a relatively narrow range (Figure 13). Typically, they can withstand high temperatures of at least 800 °C and are characterized by a Young’s modulus of hundreds of GPa and have an electrical conductivity above 104 S/m. High Young’s modulus values result in switching speed and a stiffness needed for the fast and volatile operation of a NEM switch. The electrical conductivity of the switching element is decisive for the desired application. For example, for RF applications, metallic conductivity is needed, while materials with relatively low conductivity are suitable for electrical current limitation applications. The ability of withstanding high temperatures is favourable for NEM switches operating in harsh environment. A demonstrative comparison of fabrication approaches, general advantages and challenges, together with some of the proposed applications of the materials for NEM switching elements is presented in Table 2.

[2190-4286-9-29-13]

Figure 13: Materials properties for NEM switching elements. Marker coordinates correspond to the electrical conductivity and Young’s modulus of each material, and the marker colour represents its melting temperature T.

Table 2: Comparison of materials for NEM switching elements.

Materials   Device fabrication approaches (examples) Advantages Challenges Possible applications
metals Mo [19,115]
Cu [17]
Pt [114]
Ru [18]
TiW [49]
top-down (lithography, etching, thermal annealing, atomic layer deposition) - high electrical conductivity - surface oxidation (Mo, Cu, Ru, TiW)
- scaling and grain boundary effects on mechanical properties
- residual stress (Pt)
- RF applications
carbon allotropes CNTs [12,15,32-37,59,123]
graphene [24-31]
top-down (lithography, etching, metal sputtering) with bottom-up (dielectrophoresis,
controlled growth,
nanomanipulation)
- combination of high electrical conductivity and extraordinary mechanical strength
- very high decomposition temperatures
- mechanical strain-dependent electrical properties (CNTs)
- mass loss and electrical breakdown (CNTs)
- grain boundary effects on mechanical and electrical properties (graphene)
- memory and logic applications
semiconductors Si [20-22,50,132,133]
Ge [9-11,13,14]
Mo6S3I6 [8]
SiC [23,38,39]
top-down (lithography, etching) or bottom-up (nanomanipulation) - operation at relatively high voltages up to 40–50 V (Si, Ge, Mo6S3I6)
- can withstand high temperatures (SiC)
- surface oxidation (Si, Ge)
- mechanical and electrical properties may change with increasing temperature (Ge, Si)
- memory (Si)
- logic and high-temperature applications (SiC)
ceramics TiN [6,47,48,142]
WNx [5]
top-down (lithography, etching, sputtering, thermal anneal, atomic layer deposition) - combination of high electrical conductivity and stiffness (TiN)
-combination of high elasticity and hardness (WNx)
residual stress (TiN) - memory and logic applications (TiN)
- electrical current limitation and low-voltage switches (WNx)

Choice of electrical contact material

Despite numerous studies [51,52,82] on the properties of the electrical contacts at the nanoscale, there is a limited number of reports on the suitability of different materials combinations for NEM switch applications. At the same time, choosing the appropriate contact materials is one of the most important issues in scaling the NEM switch, as traditional contact materials (e.g., Pt, Au, Cu) struggle to show reliable and stable performance due to pronounced nanoscale adhesion, wear and dynamic evolution of the contact. The importance of choosing the appropriate materials is highlighted by NEM switch research, where classical switch architectures in combination with unconventional materials combinations, for example, SiC [7] and diamond-like carbon (DLC) [12] as electrical contact materials, have led to increased reliability. The following subsections summarize the advantages and drawbacks of some of the materials being used as electrical contacts in NEM switches.

Metals

The reliability of various metals as electrode materials has been examined both theoretically [144] and experimentally [63,145-152] using mechanical [145,146], electrical [145,146] or coupled electromechanical [63,147-152] testing experiments. The materials properties such as hardness, wear resistance, melting point, conductivity and oxidation characteristics should be considered for each particular application.

Theoretical studies have modelled the impact of NEM switch scaling on the properties of metal electrode materials, showing that a decrease in device dimensions will necessarily result in a large increase of contact resistance as well as the risk of stiction-induced failure [144]. It was found that no metal considered in this study (Ag, Al, Cu, Pt, Rh, Ru, Ti and W) can fulfil the requirements of an ideal contact simultaneously [144].

Au is a material with an exceptional conductivity and oxidation resistance. However, the reliability of pure Au–Au contacts is very low as Au is a soft material, which is likely to undergo material transfer and wear [144,153]. Alloying with another metal (for example, Ni) is a method to strengthen soft metals to increase wear resistance and reduce surface adhesion. Two-phase Au–Ni alloys (at 20% Ni) showed reduced wear rate and a small increase of contact resistance in comparison with pure Au electrodes in a MEM switch setup [150]. It was also shown that Au, alloyed with noble metals such as Pt, Rh and Ru, reduced the contamination build-up rate [147].

Other noble metals such as Ir and Pt are attractive due to their high Young’s modulus and oxidation resistance in ambient environment. Under I(V) cycling with a Cr-coated AFM tip, both materials exhibited relatively low initial contact resistances in comparison with Ni and Cr [145]. Adhesion forces were measured to be more than four times higher for the Ir/Si contact than for Pt/Si [145]. The electromechanical cycling of Pt/Pt contacts for 109 cycles in ambient air showed more than three orders of magnitude increase of contact resistance accompanied by only a moderate 12% increase of adhesion force and no signs of wear-related degradation [63]. TEM observations revealed an added layer of material on the switching element and molecular dynamics simulations in the same study showed that the presence of a tribopolymer layer between metal contacts would increase the contact resistance, but weaken the adhesive interactions, in comparison with a case with no tribopolymer.

The use of W as the contact material in NEM switches is advantageous due to the high hardness of the metal, high Young’s modulus and high melting and boiling points. A W/Cr contact demonstrated the lowest adhesion force, compared to other metals (e.g., Pt and Ni) [145]. However, for a W contact material, relatively large changes in contact resistance [146] and degradation of the on state current during cycling [49] have been reported, which has been attributed to the impact of its native oxide [49,146].

Al and Cu electrode materials have demonstrated very low initial contact resistances during I(V) cycling [145] due to the high conductivity of these electrode materials. However, both materials displayed either gradual (Al) or abrupt (Cu) increase of contact resistance after 104 I(V) cycles [145].

Ti stands out with the combination of a hardness and Young's modulus relatively better than for other materials (e.g., Au, Al, Cu), showing reliable I(V) cycling with low initial contact resistance [145]. However, the stable cycling performance is compromised by its larger resistivity in comparison with other metals.

Metals have been alloyed with semiconductors in search for novel NEM switch contact materials. Platinum silicide (PtxSi) thin films were proposed as the perspective contact material due to the combination of mechanical robustness and metal-like conductivity [63,154,155].

In summary, the highest reliability is achieved using a material with a combination of high Young’s modulus, high hardness and high melting point, for example, Ti and W, while materials traditionally used for contacts (noble metals such as Au and Pt) are at risk of wear due to their low hardness and low Young’s modulus [153].

Other materials

Electrically conductive stable oxides could also be considered as prospective NEM switch contact materials, as they have shown reliable performance for microscale contacts. For example, switches based on ruthenium oxide RuO2–Au contacts [149,151,152] reached more than 1010 switching cycles without failure, surpassing such materials combinations as Pt–Au and Ir–Au [149]. As RuO2 has lower surface reactivity than, for example, Pt, the RuO2–Au contact material combination prevents cycling-induced tribopolymer accumulation [149]. However, the conductivity of RuO2 is lower than that of Au [152].

Another perspective contact material is Mo6S3I6, because of its low surface energy [134]. Mo6S3I6 was tested in a NEM switch, where the switching element was Mo6S3I6 nanowire bundles, and the contact electrode material was Mo6S3I6 or Au. The performed tests showed reduction of adhesion per unit area by nine times for a Mo6S3I6–Mo6S3I6 contact in comparison with a Mo6S3I6–Au contact [8].

Diamond-like carbon (DLC) and SiC have been suggested as electrode materials due to their mechanical robustness, demonstrating stable contact resistance over 106 switching cycles for CNT-based NEM switch [12] and over 107 cycles for SiC-based NEM switch [7]. The applications of these materials are, however, limited due to their high contact resistance.

Table 3 presents the advantages and drawbacks of the contact materials combinations discussed above, as well as their cycling behaviour, together with experimental setups used for testing.

Table 3: Advantages and disadvantages of contact material/switching element combinations.

Contact material/switching element Advantages Cycling performance Drawbacks
Ir/Cr [145]a; Ir/Pt [146]a - high hardness
- high resistance to native oxide formation
good cycling characteristics for 105–109 [63,145] cycles;
low initial contact
high adhesion forcea in comparison with Cr, Ni, Ti, W, Pt [145]
Pt/Cr [145]; Pt/Pt [63]a, [144,146] - lower adhesion force in comparison with Ir, Ti, Ni, Cr, Al, Cu [145]
- harder than Au
- high resistance to native oxide formation
resistance (in comparison with Ni and Cr) [145] contact resistance increase over time [63,145] (more than 3 orders of magnitude after 2·109 switching cycles [63])
W/Cr [145]; W/TiW [49]b, [144]; W/Pt [146] - lower adhesion force in comparison with Pt [145]
- high hardness, high melting and boiling points (compared to, e.g., Pt)
good I(V) cycling characteristics for 105 cycles [145];
larger changes in contact resistance (compared to, e.g., Ir, Ni, Pt) [146];
degradation of on state current [49]
formation of native oxide
Ti/Cr [144,145] - combination of reliability, useful lifetime, hardness and Young's modulus relatively better than for other materials (e.g., Au, Al, Cu)
- high corrosion resistance
good I(V) cycling characteristics and stable contact resistance for 105 cycles [145] higher resistivity in comparison with other metals
Ni/Cr [145]; Ni/Pt [146] - good corrosion/oxidation resistance poor I(V) cycling performance [145];
less than 1% change in contact resistance over 105 cycles [146]
high initial contact resistance (3–5 orders of magnitude higher than W, Pt, Ti, Ir) [145]
Cr/Cr [145] - high corrosion resistance and hardness poor I(V) cycling performance [145] formation of native oxide
Al/Cr [144,145] - low initial contact resistance gradually became nonconductive after 104 I(V) cycles [145] high adhesion force, formation of native oxide
Cu/Cr [144,145] - low initial contact resistance abrupt large rise in contact resistance after 104 I(V) cycles [145] high adhesion force, formation of native oxide
Au/Au [147,148,150,153]c - no oxidation
- very low initial electrical contact resistance
material transfer during cycling high adhesion force, low hardness;
rapid surface wear
Au–Ni alloy, 20 atom % Ni/Au [150] - reduced wear rate in comparison with pure Au larger number of switching cycles with stable contact resistance compared with pure Au contact resistance higher than that of pure Au
Mo6S3I6/Au
Mo6S3I6/Mo6S3I6 [8]b
- low surface energy [134] larger number of switching cycles in comparison with Au electrode S forms covalent bond with Au
DLC/CNT [12]b - low adhesion, mechanical robustness
- high electrical resistivity, high corrosion resistance
stable contact resistance over 106 switching cycles high contact resistance
SiC/SiC [7]b - stable performance over testing period of 7 days in ambient air
- suitability for high temperature (500 °C) measurements
stable performance over 107 full switching cycles at room temperature high contact resistance
RuO2/Au [149,151,152]c - electrically conductive and stable oxide
- extended lifetime (in comparison with Pt–Au and Ir–Au) due to catalytic behaviour
reached more than 109 switching cycles without failure [149] lower conductivity that Au–Au contacts [152]
PtxSi [63,154,155] - combination of mechanical robustness with metal-like conductivity
- good oxidation resistance
no cycling tests performed no cycling tests performed

aMetal-coated AFM tip/thin film-based nanoscale test platform. Si AFM tip was used in adhesion force measurements. bRepresentative NEM switching device. cMicroscale test platform.

Operating environment

Environmental conditions (e.g., pressure, temperature, humidity, presence of chemically active gases (among which the impact of oxygen is the most widespread and studied), contamination with carbonaceous compounds [63,156-158]) can impact both the switching element and contact materials, influencing NEM switch operational parameters such as switching speed, jump-in voltage, hysteresis width, contact resistance and adhesion. The environmental effects on the switching element may result in a delay of response or change in jump-in/jump-out voltage of the device. The most severe environmental impact is experienced in the contact region, where the dynamic relationship between contacting materials can introduce variability in electrical and mechanical NEM switch operational parameters over time.

Environmental damping of NEM switching element

In contrary to high-vacuum conditions, where the damping of the switching element is determined by intrinsic losses (e.g., imperfect clamping, structural defects in the switching element), in higher pressure (low vacuum, dry gas or liquid) environments it experiences additional external losses, depending on the pressure and viscosity of the surrounding medium, which are mirrored by a decrease of quality factor of the switching element and may lead to reduction of the switching speed. Figure 14 illustrates the impact of air pressure on the quality factor for three different sized SiC nanocantilevers indicating the transition from molecular to viscous damping [159], governed by collisions and viscosity of the medium, respectively.

[2190-4286-9-29-14]

Figure 14: Quality factor as a function of air pressure showing the transition from molecular (solid lines) to viscous (dashed lines) damping. Red, green and blue colours mark three different sized nanocantilevers (corresponding to widths 400 nm, 800 nm and 2 µm). Inset shows the crossover pressure dependence on cantilever width. Reprinted with permission from [159], copyright 2007 Macmillan Publishers Limited.

Despite the negative impact on the quality factor of the switching element, operating a NEM switch in a water-free dielectric liquid with large dielectric constant has several significant advantages such as reduction of pull-in voltage, suppressed arcing, avoiding capillary forces and exposure of NEM switch components to oxygen, as well as reduction of van der Waals force in the contact [160]. Operation in insulating liquid media has been demonstrated for a few NEM/MEM switches. A top-down fabricated 3T NEM switch using a moving TiN cantilever and TiN electrode with a 40 nm gap operating in insulating transformer oil showed about 40% decrease in pull-in voltage due to the liquid’s large dielectric constant [160]. The additional benefits were reduction in hysteresis width and improved cycling characteristics when compared to operation in air [160]. For a much larger microelectromechanical switch, a similar 31.8% reduction in pull-in voltage and a more than 94% decrease of the adhesion force have been reported when operating in mineral oil [161].

Operation in humid environments and ambient air

Capillary forces: If a NEM switch is fabricated using wet etching or is operating in humid environments, the capillary forces should be considered. It is increasingly important with down-scaling of a NEM switch, as the ratio of capillary to elastic restoring force of the switching element increases with reducing device dimensions (Figure 15) [144]. In humid environments, the capillary condensation from the surrounding vapour on the NEM switch nanocontacts impacts the adhesion behaviour during switching operation. During a NEM switch fabrication process, the capillary forces may arise from residuals left after lithographic processing using wet etching. The impact of wet fabrication steps can be minimized using super critical point drying [12,162,163]. To prevent the occurrence of capillary forces arising from the device fabrication process, it is recommended to use dry switching element release procedures (e.g., dry etching with HF [5-7], reactive ion etching [5,12,19]).

[2190-4286-9-29-15]

Figure 15: Scaling impact on the capillary/elastic force ratio. The impact of reducing the length of the switching element on the ratio of capillary to elastic force is shown for three different humidity levels. Reprinted with permission from [144], copyright 2011 IEEE.

Methods for minimising/elimination of capillary forces during operation include coating the switch elements with hydrophobic self-assembled monolayers (SAMs) [104,164] and immersing the NEM switch in an insulating liquid environment, which also significantly reduces vdW forces. However, coating with SAMs poses challenges in tailoring the electrical properties of the switch.

Oxidation: When designing a NEM switch for operation in ambient environment, the oxidation characteristics of materials must be considered. Regarding electrical contact materials, noble metals are highly resistant to oxidation and corrosion in ambient air at room temperature. However, for Pt-group metals (e.g., Pt, Ir, Ru) the formation of the surface oxide can be activated by the presence of water vapour at relatively low temperatures [165]. In contrast to noble metals, almost all base metals develop a thin oxide layer under ambient conditions. In this case, the chemical and mechanical properties of a native oxide determine the corrosion resistance of NEM switch contact materials. For example, Ni, Cr and Ti form protective layers, making them suitable as electrode coatings in a broad range of environments. The electrical conductivity of metal oxides of contact materials spans a large range of values from metallic to insulating. For example, Ir can form an electrically conductive oxide under proper temperature conditions. Cr oxide is moderately conductive with resistivity in the range of 3 × 10−6 Ω·m [166]. Native oxide of Cu is semiconducting (with resistivity around 4.6 × 104 Ω·m at room temperature), showing pronounced temperature dependence [167]. Ni oxidizes to form a crystalline, mechanically robust NiO film at room temperature with a resistivity of approximately 0.5 Ω·m [168]. Ti oxide has high bulk resistivity [169], but for thin films, it can significantly decrease due to the changes in material stoichiometry [170,171]. One of the metal oxides with the highest (5 × 1011 Ω·m [172]) is the brittle Al native oxide, growing rapidly under ambient conditions. Commonly used for NEM switching elements, semiconductors Si and Ge in ambient air develop few nanometre thick, insulating (1 × 1012 Ω·m (Si) [13,20] and 8 × 108 Ω·m (Ge) [10,173]) oxide layers.

Typically, the oxidation of NEM switch component materials during fabrication or operation is accompanied by an increase of contact resistance and creation of a potential barrier in the contact [8,13,17,49], manifested in nonlinear I(V) characteristics (Figure 16). Complete removal of the oxide layer leads to reduction of the potential barrier between the contacting surfaces, resulting in significant improvement of electrical contact and linear I(V) characteristics, allowing operation at low voltages (Figure 16) [10].

[2190-4286-9-29-16]

Figure 16: I(V) characteristics of Ge nanowire with and without an oxide layer. Reprinted with permission from [10], copyright 2009 American Chemical Society.

Alternatively, repetitive cycling of a NEM switch may lead to modification (thinning) of the oxide layer in the nanocontact area, reported, for example, during I(V) cycling of an oxide-covered Ge nanowire–Au nanocontact [54]. Current flow and heating caused by FN tunnelling through the oxide results in its smoothening and thinning in the nanocontact. This leads to a simultaneous increase of the nanocontact area and a decrease of the contact resistance [54].

The electrical charge stored in the poorly conductive oxide layer may enforce the electrostatic attractive force applied to the switching element. A decrease of jump-in voltage during cycling was attributed to the charge build-up effect, reported for NEM switches with Si [20] and Cu [17] switching elements. Also, it has been shown that an oxide layer can significantly alter the Young’s modulus and consequently the stiffness of the switching element [174,175], affecting both jump-in and jump-off voltages of the switch.

Environmental contaminants: Ambient hydrocarbons and other organic compounds as traces of organic vapours may form an insulating high molecular weight carbonaceous deposit (tribopolymer) in the areas experiencing mechanical load [158], for instance, during the on switching events. The impact of tribopolymer on NEM switch operation has been experimentally investigated using controlled environmental setups, introducing known levels of organic contaminant gasses such as benzene [156,158]. The proposed explanation of the tribopolymer formation phenomena is adsorption of hydrocarbon molecules on a metal surface followed by chemical interaction between themselves and with the metal surface under mechanical load, becoming polymerized and dehydrogenated [157,158,176]. While a detailed understanding of the tribopolymer formation mechanism is lacking [157,168], it has been related to catalytic activity of contact metals. It has been shown that while Pt group metals are the most susceptible to formation of a tribopolymer layer, it deposits also on the surface of other metals such as Mo, Ta, Cr and Au [157]. The formation of tribopolymer on most metal layers results in significant reduction of adhesion between the contacting materials due to significantly (by approximately three orders of magnitude) decreased surface energy in comparison with pure metals [177]. Calculations have shown that every mechanical switching cycle creates about a monolayer of polymer [157].

The electrical current, flowing through the nanocontact during each switching cycle, has a twofold effect on formation and evolution of the tribopolymer layer. On the one hand, it causes dielectric breakdown of a layer grown during this cycle by permanently altering its structure to a conductive state [158]. On the other hand, similar to mechanical stress, the electrical current increases the rate of polymer growth by supplying additional energy to molecules adsorbed at the metal surface and helps to overcome the activation energy barrier for adsorbent polymerization [156,158]. This process may result in an increase of the electrical resistance of the NEM switch contact by a few orders of magnitude over the contact lifetime of a few billion cycles [63].

Operation at extreme temperatures

One of the strengths of NEM switches are their proposed superiority for harsh environment applications such as extreme temperatures. The operational characteristics at 300 °C for a molybdenum NEM switch and 500 °C for SiC showed that the off-state leakage current is not influenced by temperature [7,19,38]. In comparison with room temperature, operation at higher temperatures has improved the stability of the contact resistance, suggesting that cleaning of the surfaces from moisture and contaminants is taking place [19,158]. Increased temperatures may also release residual stress in the switching element, thus reducing NEM switching hysteresis width (Figure 17) [6]. It is important to note that the temperature impact on Young’s modulus and electrical resistivity of the switching element material needs to be considered when designing the NEM switch. For the majority of semiconductors, both the Young’s modulus and the electrical resistivity significantly decrease with an increase of temperature (references for Si and Ge are given as an example [131,178,179]), while for metals, the high temperature related decrease of the Young’s modulus is accompanied by an increase of electrical resistivity [180]. NEM switch operation has also been demonstrated at low temperatures, for example, for graphene NEM switches at 78 K and 10−6 Torr [25].

[2190-4286-9-29-17]

Figure 17: Temperature impact on NEM switch hysteresis. Hysteresis I(V) loops of a SiGe–TiN NEM relay measured at (a) 25 °C and (b) 125 °C. Reprinted with permission from [6], copyright 2015 IEEE.

Clean environments

Due to the environmental effects described previously, clean environments such as high vacuum [19,152] or clean N2 [149,181-183] are needed to reduce or eliminate oxidation and contamination, as well as to increase the switching speed. To achieve clean operation, sources of environmental contamination (sacrificial layers, organic solvents, exposure to air) must be eliminated during each stage of NEM switch fabrication and testing, including processing, transfer to and testing in the operating environment.

Encapsulation of NEM/MEM devices may be a solution to minimize environmental contamination- and oxidation-caused modification of nanocontacts. However, it increases the device size and the complexity of the fabrication process. Up to now, only a few reports on MEM switch encapsulation attempts can be found [184,185].

NEM switch failure modes and mechanisms

The following section provides a brief overview of specific nanobeam-based NEM switch related problems that cause a switch to malfunction. The most frequently observed failure modes, their mechanisms, as well as suggestions of possible solutions for preventing these failures are reviewed.

Mechanical tear

The estimations show that in NEM contact switches with 2T single-clamped architectures shown in Figure 1a,b, the speed of the free end of the switching element during the accelerated jump-in motion can be as high as 200 m/s [15]. Compressive stress of the switching element at the moment of contacting the drain electrode can reach 30 GPa. It is accompanied by deformation of the switching element, resulting in generation of a strong elastic compression wave propagating along it, and is superimposed on a bending stress in the switching element. This may lead to wear, tear and loss of the contacting material [24,29,186,187], especially over repetitive switching of CNTs used as switching elements [15]. The possible solution for this failure would be the reduction of the separation gap between the contact electrode and the switching element, but that would mean lower retraction forces and higher risk of device failure due to stiction. Another option is to use more durable materials such as semiconductor nanowires [8,10] for the switching elements.

Increase of switch resistance resulting in current drop down to the noise level in on state

The switch contact can become electrically insulating due to the oxidation of the switching material or build-up of a non-conductive tribopolymer layer under repetitively applied load in the contact [63,156,188]. Oxidation and tribopolymer formation issues were discussed previously. Both problems can be addressed by encapsulation of the switch in an inert atmosphere, preferably high vacuum, but it increases the device size and complexity of the fabrication process. Alternatively, the use of chemically inert materials or materials covered with electrically conductive oxides may be helpful in minimising these issues. If the dielectric layer has already formed, for example, during the fabrication process or mechanical cycling, it can be demolished by applying higher electrical fields, thus breaking it down [156]. However, this may result in the device failure.

Stiction

Permanent stiction occurs when adhesion in the contact between the switching element and the electrode exceeds the pull-out forces, leaving the switching element permanently attached to the contact electrode (on position). The most common ways to prevent stiction of the switching element already during the first switching cycle are: to decrease the contact area [8] (drawback – increased contact resistance and current density through the contact); to increase the initial separation gap between the switching element and the contact electrode [13] (drawback – increased jump-in voltage); to use materials with lower free surface energy [74-76].

During the on–off cycling of a NEM switch, there are several processes (discussed previously), which may eventually result in permanent stiction of the switch such as material transfer, dielectric charging, surface wear and the formation of chemical bonds at the contacting interface.

Material transfer: Material transfer caused by thermal evaporation of material from anode electrode (described in detail previously) may be avoided by reducing the source–drain voltage below a critical value [104,105,189]. However, this would increase the risk of stiction for 2T NEM switches due to lower restoring force of the switching element. Also, by increasing the switching speed, the field emission time would be shorter, thus minimising or avoiding the following thermal emission [105,189]. Considering the described material transfer mechanism, the materials with higher thermal conductivity and melting temperature are a preferable choice to resolve this problem.

Dielectric charging: Charging of the dielectric material (for example, thin native non-conductive oxide, tribopolymer) covering the contacting surfaces, may force the switch to jump to an on state at voltages lower than the jump-in voltage. For large charge build-up relative to the NEM switch separation gap, this effect may lead to permanent stiction of the device [190]. The charge accumulation issue may be partially resolved by using bipolar AC rather than DC voltage actuation [191]. However, it would require complex electronics [192,193] to drive the NEM switch in dielectric charging-free mode.

Surface wear: Surface wear, which develops during repeated on–off cycling of a NEM switch, can lead to an increase of the contact area to the point where stiction occurs. It can be minimised using materials with high hardness and good electrical conductivity, including innovative materials such as PtxSi [63]. Soft metals, traditionally used for electrodes, for example, Au, can be strengthened against wear by alloying with other metals [150], however, using of such alloys instead of pure Au may lead to increased current densities in contact. The physical properties of materials which are used in NEM switches were discussed in previous sections.

Chemical bonds: The formation of chemical bonds between the contact material and the switching element increases the adhesion forces in the contact. For instance, formation of covalent bonds between Au and S atoms significantly increasing adhesion in the contact, as was reported for a Mo6I3S6-based NEM switch [8]. The process of chemical bond formation may be enhanced by a current-induced temperature increase in the contact area. Most graphene-based devices suffer from irreversible stiction after only a few switching cycles [24,25,27-31,60,80] due to the high current density and corresponding temperature increase in a graphene–gold switch contact. As a result, carbon–gold chemical bonds are established at the interface between the edge of a graphene nanoribbon switching element and a gold contact electrode and leads to permanent stiction of the switch [80].

Burn-out

The mechanism of electrical current induced burn-out of a NEM switching element is governed by a set of parameters, which include thermal and electrical conductivities of the switching element, electrode and nanocontact area.

One of the most common burn-out mechanisms is partial local melting of the electrode material at the contact point and partial ablation of the switching element at the contact. This burn-out mechanism is typical for jump-in events and can be explained by rapid local heating caused by electrostatic discharge at the jump-in-contact moment. In this process, charges accumulated in the off state give rise to a current peak through the contact area that is significantly larger than the steady-state on current [16]. Due to high jump-in voltages, this is the main failure mode of bistable 2T NEM switches. As an example, the burn-out of a Ge nanowire occurred at a jump-in voltage of 13.5 V with current density of 3 nA/nm2 in the contact (Figure 18a [10]).

[2190-4286-9-29-18]

Figure 18: Electrical burn-out induced failure of the NEM switching element. a) Ball formation at the end of a Ge nanowire at the jump-in moment. Reprinted with permission from [10], copyright 2009 American Chemical Society. (b) GaN nanowire and (c) Mo6S3I6 nanowire bundle break in the middle when the voltage is applied in the on state. Reprinted with permission from [96], copyright 2011 American Chemistry Society and from [8], copyright 2010 IOP Publishing.

Degradation of a switching element near the contact is also observed for NEM switches in the on state, even if materials with good thermal conductivity are used [10,14].

As the contact area is much smaller than the cross-sectional area of the switching element (see Table 1), the current density in the contact is high enough to cause migration of atoms in the material and lead to failure of the switching element due to large electromigration-induced mechanical stresses [96,99]. When the thermal conductivity of a switching element is relatively low, Joule heating at current densities >0.1 nA/nm2 (for GaAs nanowires) and >10 nA/nm2 (for Mo6S3I6 nanowires) leads to a high temperature difference between the middle point and the ends and consequent thermal breakdown of the switching element into two halves [8,96] (Figure 18b,c). In Mo6S3I6 nanowires, Joule heating also leads to chemical decomposition and transformation into Mo nanowires through thermal evaporation of S and I atoms. Breakdown of the transformed nanowires occurred at current densities of about 80 nA/nm2 [100].

Native-oxide-coated nanowires may also experience meltdown of the core material at the moment of jump-in contact [10] or during the increase of current densities in on state [55], presumably, due to poor thermal contact between the nanowire and electrode. Figure 19a,b illustrates step-like degradation of a Bi2Se3 nanowire during the on state. One to several breakdown steps is typical for core/shell nanowires. The first current drop (Figure 19a, marked with 1) occurs when the nanowire core melts and is transformed to a shell-like structure filled with droplets (Figure 19b) [55]. In air ambient Bi2Se3 nanowires become covered with composite Bi and Se oxide shell [194]. As the melting temperature of Bi2Se3 (710 °C) is significantly higher than that of SeO3 (118 °C) and SeO2 (340 °C) and at the same time lower than that of Bi2O3 (817 °C), it is possible that after the core of the Bi2Se3 nanowire melts, a further voltage increase leads to conduction through the semiconducting Bi2O3 shell until the current drops (Figure 19a, marked with 2 and 3), indicating the successive burn-out of the oxide shell.

[2190-4286-9-29-19]

Figure 19: a) Breakdown I(V) characteristics of two individual Bi2Se3 nanobelts. 1-2-3 – The step-like breakdown of a nanobelt when the increase of voltage is continued after the first partial breakdown. 1 – single-step complete breakdown of a nanobelt. b) Core meltdown of a Bi2Se3 nanobelt. c) Core meltdown of a Ge nanowire, captured in both “before” and “after” states of the nanowire in a single frame. Reprinted with permission from [55], copyright 2016 AIPP and from [10], copyright 2009 American Chemical Society.

Germanium nanowire-based NEM switches demonstrated a similar burn-out mechanism for germanium nanowires covered with a native oxide layer [10]. An example of the core–shell burn-out of a native-oxide-covered Ge nanowire at a jump-in voltage of 37 V is shown in Figure 19c [10]. The core of the nanowire melted in the moment of contacting the electrode. As the core of Ge nanowire melted and divided in segments inside Ge oxide tube, the temperature of the nanowire was in the range between 938 and 1115 °C – the melting points of bulk Ge and GeO2, respectively. Simulations performed for clarifying the burn-out process showed that when the nanowire in the active contact area is thermally isolated from the electrode, presumably due to the poor mechanical contact, its temperature increases rapidly, reaching the melting point of Ge in 100 ns [10].

Table 4 summarizes the main failure modes of NEM switches and their possible solutions, as well as the affected properties of the NEM switch, in case these solutions are employed.

Table 4: Main failure modes of NEM switches.

Failure mode Reasons Possible solutions Affected properties of proposed solutions
mechanical tear [15,24,29,186,187] high impact speed and following compression stress when active element jumps into the contact lower switching speed (smaller jump-in voltages), more durable materials lower jump-in voltages mean weaker retraction force and possible failure due to stiction
increase of switch resistance resulting in current drop in on state down to the noise level [63,156] oxidation of the contact surfaces and contamination with hydrocarbons when operating in ambient environment use of chemically inert materials or materials coated with electrically conductive oxides presence of an oxide layer may lower contact conductivity
    application of higher voltage pulses for dielectric layer breakdown risk of burn-out failure
    encapsulation increases the device size and the complexity of the fabrication process
    operation in vacuum may be insufficient to prevent adsorption of hydrocarbons if the vacuum is not high enough
stiction [24,25,27,31,60,80,104,105,189,193] adhesion between switching element and contact electrode exceeds restoring (elastic) force of the switching element decrease of contact area increase of contact resistance
    increase of initial gap thus increasing the retraction force increase of jump-in voltage
    use of switching element with high Young’s modulus, thus increasing the retraction force increase of jump-in voltage
    use of materials with lower surface energy increase of contact resistance
  material transfer reduction of source–drain voltage below 5 V increases risk of stiction in 2T NEM switches due to reduction of restoring force of switching element
    increase of switching speed high mechanical impact forces
    use of materials with good thermal conductivity, high melting temperature, high work function and low roughness
  dielectric charging use of bipolar AC rather than DC voltage actuation the charging effect cannot be eliminated completely, more complex electronics required
burn-out [8,10,14,16,55,96,99,100,194] electrostatic discharge decrease of jump-in voltage increases risk of stiction
    use of dielectric layers to increase contact resistance and reduce charge dissipation rate increase of power dissipation, delay, decrease of noise margin of the device
  Joule heating use of insulating contact layer and materials with higher melting temperature insulating layer increases charge buildup and enhances risk of unstable pull-in voltage
    addition of high resistance in series decreases on/off state current ratio

Conclusion

This review highlighted the most significant advancements in nanobeam-based electrostatically actuated NEM switch technology that have taken place during the last decade. Progress has been made in various areas relevant for NEM switches, encompassing characterization of single nanostructures and nanocontacts, as well as engineering of different device designs to increase their reliability and longevity.

The field of NEM switch research continues to develop in various directions, exploring new material combinations, testing and fabrication methods, and operating environments. This review considered both the advantages and drawbacks of current nanobeam-based electrostatically actuated NEM switch research and development. For NEM switches to become useful for practical applications, further work should address the remaining challenges, particularly, improvement of reliability and durability of a NEM switch through prevention of its failure during operation. The development of in situ microscopy based methods for real-time monitoring of processes during the device operation are extremely important for designing NEM switches with improved reliability.

Selecting application-specific materials may help to find the best NEM switch design solution. The general materials requirements include high mechanical stiffness (high Young’s modulus), high hardness, low density, low adhesion, low mechanical dissipation, chemical inertness, good electrical and thermal conductivity.

When choosing materials for NEM contact switch application, one should take into account not only the expected operational parameters of the projected NEM contact switch, but also its fabrication approach. Despite the recent improvements in nanofabrication, achieving a high manufacturing yield remains a challenge especially for devices based on materials not suitable for top-down fabrication.

When fabricating the device, the environment in which the device will operate must be taken into account, as the environment may have not only a negative, but also a positive effect on the NEM switch operation. For example, the fabrication of a NEM switch in an oxygen-rich environment may result in the formation of either stable electrically conductive oxides covering the nanostructures, or insulating oxides preventing burn-off of the switching element.

Acknowledgments

This work was supported by the Latvian Council of Science (project No. 549/2012) and the University of Latvia project No. AAP2016/B043 and No. ZD2010/AZ19.

References

  1. Yousif, M. Y. A.; Lundgren, P.; Ghavanini, F.; Enoksson, P.; Bengtsson, S. Nanotechnology 2008, 19, 285204. doi:10.1088/0957-4484/19/28/285204
    Return to citation in text: [1]
  2. Dadgour, H. F.; Banerjee, K. IET Comput. Digital Tech. 2009, 3, 593–608. doi:10.1049/iet-cdt.2008.0148
    Return to citation in text: [1]
  3. Ekinci, K. L. Small 2005, 1, 786–797. doi:10.1002/smll.200500077
    Return to citation in text: [1]
  4. Fechnt, H.-J.; Werner, M., Eds. The nano-micro interface: bridging the micro and nano worlds; Wiley-VCH: Weinheim, Germany, 2004. doi:10.1002/3527604111
    Return to citation in text: [1]
  5. Mayet, A. M.; Hussain, A. M.; Hussain, M. M. Nanotechnology 2016, 27, 035202. doi:10.1088/0957-4484/27/3/035202
    Return to citation in text: [1] [2] [3] [4] [5] [6]
  6. Ramezani, M.; Severi, S.; Moussa, A.; Osman, H.; Tilmans, H. A. C.; De Meyer, K. Contact reliability improvement of a poly-SiGe based nano-relay with titanium nitride coating. In 2015 Transducers - 18th International Conference on Solid-State Sensors, Actuators and Microsystems (TRANSDUCERS), Anchorage, AK, U.S.A., June 21–25, 2015; 2015; pp 576–579. doi:10.1109/TRANSDUCERS.2015.7180989
    Return to citation in text: [1] [2] [3] [4] [5] [6]
  7. He, T.; Yang, R.; Ranganathan, V.; Rajgopal, S.; Tupta, M. A.; Bhunia, S.; Mehregany, M.; Feng, P. X.-L. Silicon carbide (SiC) nanoelectromechanical switches and logic gates with long cycles and robust performance in ambient air and at high temperature. In 2013 IEEE International Electron Devices Meeting, Washington, DC, U.S.A., Dec 9–11, 2013; 2013; pp 4–6. doi:10.1109/IEDM.2013.6724562
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7] [8]
  8. Andzane, J.; Prikulis, J.; Dvorsek, D.; Mihailovic, D.; Erts, D. Nanotechnology 2010, 21, 125706. doi:10.1088/0957-4484/21/12/125706
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [22] [23] [24]
  9. Li, Q.; Koo, S.-M.; Edelstein, M. D.; Suehle, J. S.; Richter, C. A. Nanotechnology 2007, 18, 315202. doi:10.1088/0957-4484/18/31/315202
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7] [8]
  10. Andzane, J.; Petkov, N.; Livshits, A. I.; Boland, J. J.; Holmes, J. D.; Erts, D. Nano Lett. 2009, 9, 1824–1829. doi:10.1021/nl8037807
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [22] [23] [24] [25] [26] [27] [28] [29] [30] [31] [32] [33] [34] [35]
  11. Andzane, J.; Meija, R.; Livshits, A. I.; Prikulis, J.; Biswas, S.; Holmes, J. D.; Erts, D. J. Mater. Chem. C 2013, 1, 7134. doi:10.1039/c3tc31240b
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12]
  12. Loh, O.; Wei, X.; Sullivan, J.; Ocola, L. E.; Divan, R.; Espinosa, H. D. Adv. Mater. 2012, 24, 2463–2468. doi:10.1002/adma.201104889
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14]
  13. Ziegler, K. J.; Lyons, D. M.; Holmes, J. D.; Erts, D.; Polyakov, B.; Olin, H.; Svensson, K.; Olsson, E. Appl. Phys. Lett. 2004, 84, 4074–4076. doi:10.1063/1.1751622
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14]
  14. Andzane, J.; Prikulis, J.; Meija, R.; Kosmaca, J.; Biswas, S.; Holmes, J. D.; Erts, D. Mater. Sci. (MEDŽIAGOTYRA) 2013, 19, 254–257. doi:10.5755/j01.ms.19.3.3086
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7] [8]
  15. Ke, C.; Espinosa, H. D. Small 2006, 2, 1484–1489. doi:10.1002/smll.200600271
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13]
  16. Loh, O.; Wei, X.; Ke, C.; Sullivan, J.; Espinosa, H. D. Small 2011, 7, 79–86. doi:10.1002/smll.201001166
    Return to citation in text: [1] [2] [3] [4]
  17. Muñoz-Gamarra, J. L.; Uranga, A.; Barniol, N. Micromachines 2016, 7, 30. doi:10.3390/mi7020030
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7] [8]
  18. Czaplewski, D. A.; Patrizi, G. A.; Kraus, G. M.; Wendt, J. R.; Nordquist, C. D.; Wolfley, S. L.; Baker, M. S.; de Boer, M. P. J. Micromech. Microeng. 2009, 19, 085003. doi:10.1088/0960-1317/19/8/085003
    Return to citation in text: [1] [2] [3] [4] [5] [6]
  19. Qian, Y.; Soon, B. W.; Singh, P.; Campanella, H.; Lee, C. Nanoscale 2014, 6, 5606–5611. doi:10.1039/c3nr05255a
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13]
  20. Qian, Y.; Lou, L.; Tsai, M. J.; Lee, C. Appl. Phys. Lett. 2012, 100, 113102. doi:10.1063/1.3693382
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7] [8] [9]
  21. Soon, B. W.; Ng, E. J.; Qian, Y.; Singh, N.; Tsai, M. J.; Lee, C. Appl. Phys. Lett. 2013, 103, 53122. doi:10.1063/1.4817796
    Return to citation in text: [1] [2] [3] [4]
  22. Yang, R.; He, T.; Tupta, M. A.; Marcoux, C.; Andreucci, P.; Duraffourg, L.; Feng, P. X.-L. J. Micromech. Microeng. 2015, 25, 95014. doi:10.1088/0960-1317/25/9/095014
    Return to citation in text: [1] [2] [3] [4]
  23. Feng, X. L.; Matheny, M. H.; Zorman, C. A.; Mehregany, M.; Roukes, M. L. Nano Lett. 2010, 10, 2891–2896. doi:10.1021/nl1009734
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7]
  24. Milaninia, K. M.; Baldo, M. A.; Reina, A.; Kong, J. Appl. Phys. Lett. 2009, 95, 183105. doi:10.1063/1.3259415
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7]
  25. Liu, X.; Suk, J. W.; Boddeti, N. G.; Cantley, L.; Wang, L.; Gray, J. M.; Hall, H. J.; Bright, V. M.; Rogers, C. T.; Dunn, M. L.; Ruoff, R. S.; Bunch, J. S. Adv. Mater. 2014, 26, 1571–1576. doi:10.1002/adma.201304949
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7] [8] [9]
  26. Li, P.; Jing, G.; Zhang, B.; Sando, S.; Cui, T. Appl. Phys. Lett. 2014, 104, 113110. doi:10.1063/1.4868869
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7]
  27. Sun, J.; Schmidt, M. E.; Muruganathan, M.; Chong, H. M. H.; Mizuta, H. Nanoscale 2016, 8, 6659–6665. doi:10.1039/C6NR00253F
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7] [8] [9]
  28. Wei, D.; Liu, Y.; Zhang, H.; Huang, L.; Wu, B.; Chen, J.; Yu, G. J. Am. Chem. Soc. 2009, 131, 11147–11154. doi:10.1021/ja903092k
    Return to citation in text: [1] [2] [3] [4] [5]
  29. Shi, Z.; Lu, H.; Zhang, L.; Yang, R.; Wang, Y.; Liu, D.; Guo, H.; Shi, D.; Gao, H.; Wang, E.; Zhang, G. Nano Res. 2012, 5, 82–87. doi:10.1007/s12274-011-0187-9
    Return to citation in text: [1] [2] [3] [4] [5] [6]
  30. Kim, S. M.; Song, E. B.; Lee, S.; Seo, S.; Seo, D. H.; Hwang, Y.; Candler, R.; Wang, K. L. Appl. Phys. Lett. 2011, 99, 23103. doi:10.1063/1.3610571
    Return to citation in text: [1] [2] [3] [4]
  31. Li, P.; You, Z.; Cui, T. Appl. Phys. Lett. 2012, 101, 93111. doi:10.1063/1.4738891
    Return to citation in text: [1] [2] [3] [4] [5]
  32. Rueckes, T.; Kim, K.; Joselevich, E.; Tseng, G. Y.; Cheung, C.-L.; Lieber, C. M. Science 2000, 289, 94–97. doi:10.1126/science.289.5476.94
    Return to citation in text: [1] [2] [3] [4] [5]
  33. Lee, S. W.; Lee, D. S.; Morjan, R. E.; Jhang, S. H.; Sveningsson, M.; Nerushev, O. A.; Park, Y. W.; Campbell, E. E. B. Nano Lett. 2004, 4, 2027–2030. doi:10.1021/nl049053v
    Return to citation in text: [1] [2] [3] [4]
  34. Jang, J. E.; Cha, S. N.; Choi, Y.; Amaratunga, G. A. J.; Kang, D. J.; Hasko, D. G.; Jung, J. E.; Kim, J. M. Appl. Phys. Lett. 2005, 87, 163114. doi:10.1063/1.2077858
    Return to citation in text: [1] [2] [3] [4]
  35. Cha, S. N.; Jang, J. E.; Choi, Y.; Amaratunga, G. A. J.; Kang, D.-J.; Hasko, D. G.; Jung, J. E.; Kim, J. M. Appl. Phys. Lett. 2005, 86, 83105. doi:10.1063/1.1868064
    Return to citation in text: [1] [2] [3] [4]
  36. Deshpande, V. V.; Chiu, H.-Y.; Postma, H. W. C.; Miko, C.; Forro, L.; Bockrath, M. Nano Lett. 2006, 6, 1092–1095. doi:10.1021/nl052513f
    Return to citation in text: [1] [2] [3]
  37. Kaul, A. B.; Wong, E. W.; Epp, L.; Hunt, B. D. Nano Lett. 2006, 6, 942–947. doi:10.1021/nl052552r
    Return to citation in text: [1] [2] [3] [4] [5]
  38. Lee, T.-H.; Bhunia, S.; Mehregany, M. Science 2010, 329, 1316–1318. doi:10.1126/science.1192511
    Return to citation in text: [1] [2] [3] [4] [5]
  39. He, T.; Ranganathan, V.; Yang, R.; Rajgopal, S.; Bhunia, S.; Mehregany, M.; Feng, P. X.-L. Time-domain AC characterization of silicon carbide (SiC) nanoelectromechanical switches toward high-speed operations. In 2013 Transducers & Eurosensors XXVII: The 17th International Conference on Solid-State Sensors, Actuators and Microsystems (TRANSDUCERS & EUROSENSORS XXVII), Barcelona, Spain, June 16–20, 2013; 2013; pp 669–672. doi:10.1109/transducers.2013.6626855
    Return to citation in text: [1] [2] [3] [4] [5]
  40. Dequesnes, M.; Rotkin, S. V.; Aluru, N. R. Nanotechnology 2002, 13, 120–131. doi:10.1088/0957-4484/13/1/325
    Return to citation in text: [1] [2]
  41. Ke, C.; Espinosa, H. D. J. Appl. Mech. 2005, 72, 721–725. doi:10.1115/1.1985434
    Return to citation in text: [1] [2]
  42. Ke, C.; Espinosa, H. D.; Pugno, N. J. Appl. Mech. 2005, 72, 726–731. doi:10.1115/1.1985435
    Return to citation in text: [1] [2]
  43. Kinaret, J. M.; Nord, T.; Viefers, S. Appl. Phys. Lett. 2003, 82, 1287–1289. doi:10.1063/1.1557324
    Return to citation in text: [1]
  44. Dequesnes, M.; Tang, Z.; Aluru, N. R. J. Eng. Mater. Technol. 2004, 126, 230–237. doi:10.1115/1.1751180
    Return to citation in text: [1]
  45. Wagner, T. J. W.; Vella, D. Nanotechnology 2013, 24, 275501. doi:10.1088/0957-4484/24/27/275501
    Return to citation in text: [1]
  46. Niroui, F.; Wang, A. I.; Sletten, E. M.; Song, Y.; Kong, J.; Yablonovitch, E.; Swager, T. M.; Lang, J. H.; Bulović, V. ACS Nano 2015, 9, 7886–7894. doi:10.1021/acsnano.5b02476
    Return to citation in text: [1]
  47. Jang, W. W.; Lee, J. O.; Yoon, J.-B.; Kim, M.-S.; Lee, J.-M.; Kim, S.-M.; Cho, K.-H.; Kim, D.-W.; Park, D.; Lee, W.-S. Appl. Phys. Lett. 2008, 92, 103110. doi:10.1063/1.2892659
    Return to citation in text: [1] [2] [3] [4] [5]
  48. Muñoz-Gamarra, J. L.; Uranga, A.; Barniol, N. Appl. Phys. Lett. 2014, 104, 243105. doi:10.1063/1.4882918
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7]
  49. Lee, J. O.; Song, Y.-H.; Kim, M.-W.; Kang, M.-H.; Oh, J.-S.; Yang, H.-H.; Yoon, J.-B. Nat. Nanotechnol. 2013, 8, 36–40. doi:10.1038/nnano.2012.208
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7] [8] [9] [10]
  50. Arkan, E. F.; Sacchetto, D.; Yildiz, I.; Leblebici, Y.; Alaca, B. E. J. Micromech. Microeng. 2011, 21, 125018. doi:10.1088/0960-1317/21/12/125018
    Return to citation in text: [1] [2] [3] [4] [5]
  51. Ohnishi, H.; Kondo, Y.; Takayanagi, K. Nature 1998, 395, 780–783. doi:10.1038/27399
    Return to citation in text: [1] [2]
  52. Kizuka, T.; Ohmi, H.; Sumi, T.; Kumazawa, K.; Deguchi, S.; Naruse, M.; Fujisawa, S.; Sasaki, S.; Yabe, A.; Enomoto, Y. Jpn. J. Appl. Phys. 2001, 40, L170. doi:10.1143/JJAP.40.L170
    Return to citation in text: [1] [2]
  53. Erts, D.; Lõhmus, A.; Lõhmus, R.; Olin, H.; Pokropivny, A. V.; Ryen, L.; Svensson, K. Appl. Surf. Sci. 2002, 188, 460–466. doi:10.1016/S0169-4332(01)00933-3
    Return to citation in text: [1] [2]
  54. Meija, R.; Kosmaca, J.; Jasulaneca, L.; Petersons, K.; Biswas, S.; Holmes, J. D.; Erts, D. Nanotechnology 2015, 26, 195503. doi:10.1088/0957-4484/26/19/195503
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7] [8] [9] [10]
  55. Kosmaca, J.; Andzane, J.; Baitimirova, M.; Lombardi, F.; Erts, D. ACS Appl. Mater. Interfaces 2016, 8, 12257–12262. doi:10.1021/acsami.6b00406
    Return to citation in text: [1] [2] [3] [4] [5]
  56. Liu, X.; Zhu, J.; Jin, C.; Peng, L.-M.; Tang, D.; Cheng, H. Nanotechnology 2008, 19, 085711. doi:10.1088/0957-4484/19/8/085711
    Return to citation in text: [1]
  57. Huang, Y.-T.; Huang, C.-W.; Chen, J.-Y.; Ting, Y.-H.; Cheng, S.-L.; Liao, C.-N.; Wu, W.-W. Nano Res. 2016, 9, 1071–1078. doi:10.1007/s12274-016-0998-9
    Return to citation in text: [1]
  58. Peng, Y.; Cullis, T.; Inkson, B. Appl. Phys. Lett. 2008, 93, 183112. doi:10.1063/1.3005423
    Return to citation in text: [1]
  59. Loh, O. Y.; Espinosa, H. D. Nat. Nanotechnol. 2012, 7, 283–295. doi:10.1038/nnano.2012.40
    Return to citation in text: [1] [2] [3] [4]
  60. Li, P.; Cui, T. J. Micromech. Microeng. 2015, 25, 075022. doi:10.1088/0960-1317/25/7/075022
    Return to citation in text: [1] [2] [3] [4]
  61. Grogg, D.; Ayala, C. L.; Drechsler, U.; Sebastian, A.; Koelmans, W. W.; Bleiker, S. J.; Fernandez-Bolanos, M.; Hagleitner, C.; Despont, M.; Duerig, U. T. Amorphous carbon active contact layer for reliable nanoelectromechanical switches. In 2014 IEEE 27th International Conference on Micro Electro Mechanical Systems (MEMS), San Francisco, CA, U.S.A., Jan 26–30, 2014; 2014; pp 143–146. doi:10.1109/memsys.2014.6765594
    Return to citation in text: [1] [2] [3] [4]
  62. Peschot, A.; Qian, C.; Liu, T.-J. K. Micromachines 2015, 6, 1046–1065. doi:10.3390/mi6081046
    Return to citation in text: [1]
  63. Streller, F.; Wabiszewski, G. E.; Durham, D. B.; Yang, F.; Yang, J.; Qi, Y.; Srolovitz, D. J.; Rappe, A. M.; Carpick, R. W. Novel materials solutions and simulations for nanoelectromechanical switches. In 2015 IEEE 61st Holm Conference on Electrical Contacts (Holm), San Diego, CA, U.S.A., Oct 11–14, 2015; 2015; pp 363–369. doi:10.1109/HOLM.2015.7355122
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15]
  64. Maghsoudi, E.; Martin, M. J. Simulation of thermally actuated nano-electro-mechanical memory. In 2012 13th IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm), San Diego, CA, U.S.A., May 30–June 1, 2012; 2012; pp 938–943. doi:10.1109/ITHERM.2012.6231526
    Return to citation in text: [1] [2]
  65. Zaghloul, U.; Piazza, G. IEEE Electron Device Lett. 2014, 35, 669–671. doi:10.1109/LED.2014.2318049
    Return to citation in text: [1] [2]
  66. Waggoner, P. S.; Craighead, H. G. Lab Chip 2007, 7, 1238–1255. doi:10.1039/b707401h
    Return to citation in text: [1] [2]
  67. Fork, D. K.; Hantschel, T.; Van Schuylenbergh, K. F.; Lu, J. P. Electromechanical Switch. U.S. Patent 7453339, Nov 18, 2008.
    Return to citation in text: [1] [2]
  68. Bulashevich, K. A.; Rotkin, S. V. JETP Lett. 2002, 75, 205–209. doi:10.1134/1.1475724
    Return to citation in text: [1]
  69. Mittal, K. L. Contact angle, wettability and adhesion; CRC Press, Inc.: Boca Raton, FL, U.S.A., 2008; Vol. 5.
    Return to citation in text: [1]
  70. Livshits, A. I.; Jasulaneca, L.; Kosmaca, J.; Meija, R.; Holmes, J. D.; Erts, D. Eur. J. Mech. A 2017, 66, 412–422. doi:10.1016/j.euromechsol.2017.08.009
    Return to citation in text: [1] [2]
  71. Kagota, T.; Nagataki, A.; Takei, K.; Arie, T.; Akita, S. Appl. Phys. Lett. 2013, 103, 203504. doi:10.1063/1.4832059
    Return to citation in text: [1] [2] [3]
  72. Szlufarska, I.; Chandross, M.; Carpick, R. W. J. Phys. D: Appl. Phys. 2008, 41, 123001. doi:10.1088/0022-3727/41/12/123001
    Return to citation in text: [1]
  73. Carpick, R. W.; Ogletree, D. F.; Salmeron, M. J. Colloid Interface Sci. 1999, 211, 395–400. doi:10.1006/jcis.1998.6027
    Return to citation in text: [1] [2]
  74. Maugis, D. Contact, adhesion and rupture of elastic solids; Springer Science & Business Media: New York, NY, U.S.A., 2013; Vol. 130.
    Return to citation in text: [1] [2] [3]
  75. Israelachvili, J. N. Intermolecular and Surface Forces: With Applications to Colloidal and Biological Systems (Colloid Science); Academic Press: Cambridge, MA, U.S.A., 1992.
    Return to citation in text: [1] [2]
  76. Kim, S. H.; Dugger, M. T.; Mittal, K. L. Adhesion aspects in MEMS/NEMS; CRC Press: Boca Raton, FL, U.S.A., 2011.
    Return to citation in text: [1] [2]
  77. Mo, Y.; Turner, K. T.; Szlufarska, I. Nature 2009, 457, 1116–1119. doi:10.1038/nature07748
    Return to citation in text: [1] [2]
  78. Maugis, D. J. Colloid Interface Sci. 1992, 150, 243–269. doi:10.1016/0021-9797(92)90285-T
    Return to citation in text: [1]
  79. Vahdat, V.; Ryan, K. E.; Keating, P. L.; Jiang, Y.; Adiga, S. P.; Schall, J. D.; Turner, K. T.; Harrison, J. A.; Carpick, R. W. ACS Nano 2014, 8, 7027–7040. doi:10.1021/nn501896e
    Return to citation in text: [1]
  80. Sun, J.; Wang, W.; Muruganathan, M.; Mizuta, H. Appl. Phys. Lett. 2014, 105, 33103. doi:10.1063/1.4891055
    Return to citation in text: [1] [2] [3] [4]
  81. Ploscaru, M. I.; Kokalj, S. J.; Uplaznik, M.; Vengust, D.; Turk, D.; Mrzel, A.; Mihailovic, D. Nano Lett. 2007, 7, 1445–1448. doi:10.1021/nl070051v
    Return to citation in text: [1]
  82. Erts, D.; Olin, H.; Ryen, L.; Olsson, E.; Thölén, A. Phys. Rev. B 2000, 61, 12725–12727. doi:10.1103/PhysRevB.61.12725
    Return to citation in text: [1] [2]
  83. Sharvin, Y. V. Sov. Phys. JETP 1965, 21, 655.
    Return to citation in text: [1]
  84. Zhang, Z.; Yao, K.; Liu, Y.; Jin, C.; Liang, X.; Chen, Q.; Peng, L.-M. Adv. Funct. Mater. 2007, 17, 2478–2489. doi:10.1002/adfm.200600475
    Return to citation in text: [1]
  85. Ahmed, F.; Choi, M. S.; Liu, X.; Yoo, W. J. Nanoscale 2015, 7, 9222–9228. doi:10.1039/C5NR01044F
    Return to citation in text: [1] [2] [3] [4]
  86. Léonard, F.; Talin, A. A. Nat. Nanotechnol. 2011, 6, 773–783. doi:10.1038/nnano.2011.196
    Return to citation in text: [1] [2]
  87. Sasada, T.; Nakakita, Y.; Takenaka, M.; Takagi, S. J. Appl. Phys. 2009, 106, 073716. doi:10.1063/1.3234395
    Return to citation in text: [1]
  88. Ravindra, N. M.; Zhao, J. Smart Mater. Struct. 1992, 1, 197. doi:10.1088/0964-1726/1/3/002
    Return to citation in text: [1]
  89. Beebe, J. M.; Kim, B.; Gadzuk, J. W.; Frisbie, C. D.; Kushmerick, J. G. Phys. Rev. Lett. 2006, 97, 026801. doi:10.1103/PhysRevLett.97.026801
    Return to citation in text: [1]
  90. Sarker, B. K.; Khondaker, S. I. ACS Nano 2012, 6, 4993–4999. doi:10.1021/nn300544v
    Return to citation in text: [1]
  91. Lord, A. M.; Maffeis, T. G.; Kryvchenkova, O.; Cobley, R. J.; Kalna, K.; Kepaptsoglou, D. M.; Ramasse, Q. M.; Walton, A. S.; Ward, M. B.; Köble, J.; Wilks, S. P. Nano Lett. 2015, 15, 4248–4254. doi:10.1021/nl503743t
    Return to citation in text: [1] [2] [3]
  92. Menges, F.; Riel, H.; Stemmer, A.; Gotsmann, B. Nano Lett. 2012, 12, 596–601. doi:10.1021/nl203169t
    Return to citation in text: [1]
  93. Tsai, C.-L.; Liao, A.; Pop, E.; Shim, M. Appl. Phys. Lett. 2011, 99, 53120. doi:10.1063/1.3622769
    Return to citation in text: [1]
  94. Deshpande, V. V.; Hsieh, S.; Bushmaker, A. W.; Bockrath, M.; Cronin, S. B. Phys. Rev. Lett. 2009, 102, 105501. doi:10.1103/PhysRevLett.102.105501
    Return to citation in text: [1]
  95. Shi, L.; Zhou, J.; Kim, P.; Bachtold, A.; Majumdar, A.; McEuen, P. L. J. Appl. Phys. 2009, 105, 104306. doi:10.1063/1.3126708
    Return to citation in text: [1]
  96. Zhao, J.; Sun, H.; Dai, S.; Wang, Y.; Zhu, J. Nano Lett. 2011, 11, 4647–4651. doi:10.1021/nl202160c
    Return to citation in text: [1] [2] [3] [4] [5] [6]
  97. Westover, T.; Jones, R.; Huang, J. Y.; Wang, G.; Lai, E.; Talin, A. A. Nano Lett. 2009, 9, 257–263. doi:10.1021/nl802840w
    Return to citation in text: [1]
  98. Davami, K.; Ghassemi, H. M.; Yassar, R. S.; Lee, J.-S.; Meyyappan, M. ChemPhysChem 2012, 13, 347–352. doi:10.1002/cphc.201100486
    Return to citation in text: [1]
  99. Yu, T.; Yan-Guo, W. Chin. Phys. Lett. 2013, 30, 17901. doi:10.1088/0256-307X/30/1/017901
    Return to citation in text: [1] [2] [3]
  100. Hummelgård, M.; Zhang, R.; Carlberg, T.; Vengust, D.; Dvorsek, D.; Mihailovic, D.; Olin, H. Nanotechnology 2010, 21, 165704. doi:10.1088/0957-4484/21/16/165704
    Return to citation in text: [1] [2] [3] [4]
  101. Tohmyoh, H.; Fukui, S. J. Nanopart. Res. 2012, 14, 1116. doi:10.1007/s11051-012-1116-x
    Return to citation in text: [1]
  102. Tohmyoh, H.; Imaizumi, T.; Hayashi, H.; Saka, M. Scr. Mater. 2007, 57, 953–956. doi:10.1016/j.scriptamat.2007.07.018
    Return to citation in text: [1]
  103. Tohmyoh, H.; Fukui, S. Phys. Rev. B 2009, 80, 155403. doi:10.1103/PhysRevB.80.155403
    Return to citation in text: [1]
  104. Doelling, C. M.; Vanderlick, T. K.; Song, J.; Srolovitz, D. J. Appl. Phys. 2007, 101, 124303. doi:10.1063/1.2747229
    Return to citation in text: [1] [2] [3] [4]
  105. Vincent, M.; Rowe, S. W.; Poulain, C.; Mariolle, D.; Chiesi, L.; Houzé, F.; Delamare, J. Appl. Phys. Lett. 2011, 97, 263503. doi:10.1063/1.3529474
    Return to citation in text: [1] [2] [3] [4] [5]
  106. Poulain, C.; Peschot, A.; Vincent, M.; Bonifaci, N. A Nano-Scale Investigation of Material Transfer Phenomena at Make in a MEMS Switch. In 2011 IEEE 57th Holm Conference on Electrical Contacts (Holm), Minneapolis, MN, U.S.A., Sept 11–14, 2011; 2011; pp 1–7. doi:10.1109/HOLM.2011.6034801
    Return to citation in text: [1]
  107. Cuenot, S.; Frétigny, C.; Demoustier-Champagne, S.; Nysten, B. Phys. Rev. B 2004, 69, 165410. doi:10.1103/PhysRevB.69.165410
    Return to citation in text: [1]
  108. Wang, G.; Li, X. J. Appl. Phys. 2008, 104, 113517. doi:10.1063/1.3033634
    Return to citation in text: [1]
  109. Jing, G. Y.; Duan, H.; Sun, X. M.; Zhang, Z. S.; Xu, J.; Li, Y. D.; Wang, J. X.; Yu, D. P. Phys. Rev. B 2006, 73, 235409. doi:10.1103/PhysRevB.73.235409
    Return to citation in text: [1]
  110. Nilsson, S. G.; Borrise, X.; Montelius, L. Appl. Phys. Lett. 2004, 85, 3555. doi:10.1063/1.1807945
    Return to citation in text: [1] [2]
  111. Wu, B.; Heidelberg, A.; Boland, J. J. Nat. Mater. 2005, 4, 525–529. doi:10.1038/nmat1403
    Return to citation in text: [1]
  112. Villain, P.; Beauchamp, P.; Badawi, K. F.; Goudeau, P.; Renault, P.-O. Scr. Mater. 2004, 50, 1247–1251. doi:10.1016/j.scriptamat.2004.01.033
    Return to citation in text: [1]
  113. Ao, Z. M.; Li, S.; Jiang, Q. Appl. Phys. Lett. 2008, 93, 081905. doi:10.1063/1.2976134
    Return to citation in text: [1] [2]
  114. Chong, S.; Lee, B.; Parizi, K. B.; Provine, J.; Mitra, S.; Howe, R. T.; Wong, H.-S. P. Integration of nanoelectromechanical (NEM) relays with silicon CMOS with functional CMOS-NEM circuit. In Electron Devices Meeting (IEDM), 2011 IEEE International, Washington, DC, U.S.A., Dec 5–7, 2001; 2001; pp 30–35. doi:10.1109/IEDM.2011.6131645
    Return to citation in text: [1] [2] [3] [4]
  115. Qian, Y.; Soon, B. W.; Lee, C. J. Microelectromech. Syst. 2015, 24, 1878–1886. doi:10.1109/JMEMS.2015.2449863
    Return to citation in text: [1] [2] [3] [4]
  116. Haynes, W. M. CRC handbook of chemistry and physics; CRC Press, Inc.: Boca Raton, FL, U.S.A., 2014.
    Return to citation in text: [1] [2]
  117. Dickinson, J. M.; Armstrong, P. E. J. Appl. Phys. 1967, 38, 602–606. doi:10.1063/1.1709381
    Return to citation in text: [1]
  118. Wang, X.; Li, Q.; Xie, J.; Jin, Z.; Wang, J.; Li, Y.; Jiang, K.; Fan, S. Nano Lett. 2009, 9, 3137–3141. doi:10.1021/nl901260b
    Return to citation in text: [1]
  119. Yu, M.-F.; Files, B. S.; Arepalli, S.; Ruoff, R. S. Phys. Rev. Lett. 2000, 84, 5552. doi:10.1103/PhysRevLett.84.5552
    Return to citation in text: [1]
  120. Yu, M.-F.; Lourie, O.; Dyer, M. J.; Moloni, K.; Kelly, T. F.; Ruoff, R. S. Science 2000, 287, 637–640. doi:10.1126/science.287.5453.637
    Return to citation in text: [1]
  121. Han, Z.; Fina, A. Prog. Polym. Sci. 2011, 36, 914–944. doi:10.1016/j.progpolymsci.2010.11.004
    Return to citation in text: [1]
  122. Selection of Application Specific Single and Multi Walled Carbon Nanotubes by In Situ Characterization of Conductive and Field Emission Properties. https://www.azonano.com/article.aspx?ArticleID=2038 (accessed Dec 19, 2017).
    Return to citation in text: [1]
  123. Ning, S.; Iwasaki, T. O.; Shimomura, K.; Johguchi, K.; Yanagizawa, E.; Rosendale, G.; Manning, M.; Viviani, D.; Rueckes, T.; Takeuchi, K. IEEE Trans. Electron Devices 2015, 62, 2837–2844. doi:10.1109/TED.2015.2450219
    Return to citation in text: [1] [2] [3]
  124. Tombler, T. W.; Zhou, C.; Alexseyev, L.; Kong, J.; Dai, H.; Liu, L.; Jayanthi, C. S.; Tang, M.; Wu, S.-Y. Nature 2000, 405, 769–772. doi:10.1038/35015519
    Return to citation in text: [1]
  125. Minot, E. D.; Yaish, Y.; Sazonova, V.; Park, J.-Y.; Brink, M.; McEuen, P. L. Phys. Rev. Lett. 2003, 90, 156401. doi:10.1103/PhysRevLett.90.156401
    Return to citation in text: [1]
  126. Umnov, A. G.; Mordkovich, V. Z. Appl. Phys. A: Mater. Sci. Process. 2001, 73, 301–304. doi:10.1007/s003390100906
    Return to citation in text: [1]
  127. Kaldor, S. K.; Noyan, I. C. Appl. Phys. Lett. 2002, 80, 2284–2286. doi:10.1063/1.1459762
    Return to citation in text: [1]
  128. Ruiz-Vargas, C. S.; Zhuang, H. L.; Huang, P. Y.; van der Zande, A. M.; Garg, S.; McEuen, P. L.; Muller, D. A.; Hennig, R. G.; Park, J. Nano Lett. 2011, 11, 2259–2263. doi:10.1021/nl200429f
    Return to citation in text: [1]
  129. Hopcroft, M. A.; Nix, W. D.; Kenny, T. W. J. Microelectromech. Syst. 2010, 19, 229–238. doi:10.1109/JMEMS.2009.2039697
    Return to citation in text: [1]
  130. Ngo, L. T.; Almécija, D.; Sader, J. E.; Daly, B.; Petkov, N.; Holmes, J. D.; Erts, D.; Boland, J. J. Nano Lett. 2006, 6, 2964–2968. doi:10.1021/nl0619397
    Return to citation in text: [1]
  131. Swarnakar, A. K.; van der Biest, O.; Vanhellemont, J. Phys. Status Solidi 2014, 11, 150–155. doi:10.1002/pssc.201300101
    Return to citation in text: [1] [2]
  132. Han, J.-W.; Ahn, J.-H.; Kim, M.-W.; Lee, J. O.; Yoon, J.-B.; Choi, Y.-K. Small 2010, 6, 1197–1200. doi:10.1002/smll.201000170
    Return to citation in text: [1] [2] [3]
  133. Xiang, W.; Lee, C. Appl. Phys. Lett. 2010, 96, 193113. doi:10.1063/1.3428781
    Return to citation in text: [1] [2] [3]
  134. Kis, A.; Csanyi, G.; Vrbanic, D.; Mrzel, A.; Mihailovic, D.; Kulik, A.; Forró, L. Small 2007, 3, 1544–1548. doi:10.1002/smll.200700164
    Return to citation in text: [1] [2] [3]
  135. Vrbanić, D.; Remškar, M.; Jesih, A.; Mrzel, A.; Umek, P.; Ponikvar, M.; Jančar, B.; Meden, A.; Novosel, B.; Pejovnik, S.; Venturini, P.; Coleman, J. C.; Mihailović, D. Nanotechnology 2004, 15, 635. doi:10.1088/0957-4484/15/5/039
    Return to citation in text: [1]
  136. Maboudian, R.; Carraro, C.; Senesky, D. G.; Roper, C. S. J. Vac. Sci. Technol., A 2013, 31, 050805. doi:10.1116/1.4807902
    Return to citation in text: [1] [2]
  137. Zekentes, K.; Rogdakis, K. J. Phys. D: Appl. Phys. 2011, 44, 133001. doi:10.1088/0022-3727/44/13/133001
    Return to citation in text: [1]
  138. Perisanu, S.; Gouttenoire, V.; Vincent, P.; Ayari, A.; Choueib, M.; Bechelany, M.; Cornu, D.; Purcell, S. T. Phys. Rev. B 2008, 77, 165434. doi:10.1103/PhysRevB.77.165434
    Return to citation in text: [1] [2]
  139. Wong, E. W.; Sheehan, P. E.; Lieber, C. M. Science 1997, 277, 1971–1975. doi:10.1126/science.277.5334.1971
    Return to citation in text: [1]
  140. Birkholz, M.; Ehwald, K.-E.; Kulse, P.; Drews, J.; Fröhlich, M.; Haak, U.; Kaynak, M.; Matthus, E.; Schulz, K.; Wolansky, D. Adv. Funct. Mater. 2011, 21, 1652–1656. doi:10.1002/adfm.201002062
    Return to citation in text: [1]
  141. Pang, X.; Zhang, L.; Yang, H.; Gao, K.; Volinsky, A. A. J. Mater. Eng. Perform. 2015, 24, 1185–1191. doi:10.1007/s11665-015-1393-5
    Return to citation in text: [1]
  142. Seneviratne, D.; Nielson, G. N.; Takahashi, S.; Barbastathis, G.; Tuller, H. L. On the use of titanium nitride as structural material for nano-electro-mechanical systems (NEMS). In 5th IEEE Conference on Nanotechnology, Nagoya, Japan, July 15, 2005; 2005; pp 138–141. doi:10.1109/NANO.2005.1500712
    Return to citation in text: [1] [2] [3]
  143. Polcar, T.; Parreira, N. M. G.; Cavaleiro, A. Wear 2007, 262, 655–665. doi:10.1016/j.wear.2006.07.010
    Return to citation in text: [1]
  144. Dadgour, H. F.; Hussain, M. M.; Cassell, A.; Singh, N.; Banerjee, K. Impact of scaling on the performance and reliability degradation of metal-contacts in NEMS devices. In Reliability Physics Symposium (IRPS), 2011 IEEE International, Monterey, CA, U.S.A., April 10–14, 2011; 2011; 3D.3.1–3D.3.10. doi:10.1109/IRPS.2011.5784489
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11]
  145. Chowdhury, F. K.; Pourzand, H.; Tabib-Azar, M. Investigation of contact resistance evolution of Ir, Pt, W, Ni, Cr, Ti, Cu and Al over repeated hot-contact switching for NEMS switches. In 2013 IEEE 26th International Conference on Micro Electro Mechanical Systems (MEMS), Taipei, Taiwan, Jan 20–24, 2013; 2013; pp 445–448. doi:10.1109/MEMSYS.2013.6474274
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [22] [23] [24] [25] [26] [27] [28] [29] [30]
  146. Tabib-Azar, M.; Hassan, N.; Pourzand, H.; Pai, P. Contact resistance, stiction force, and field-assisted growth and migration in MEMS and NEMS metals. In IEEE. Sensors, Valencia, Spain, Nov 2–5, 2014; 2014; pp 974–977. doi:10.1109/ICSENS.2014.6985165
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11]
  147. Chen, L.; Lee, H.; Guo, Z. J.; McGruer, N. E.; Gilbert, K. W.; Mall, S.; Leedy, K. D.; Adams, G. G. J. Appl. Phys. 2007, 102, 74910. doi:10.1063/1.2785951
    Return to citation in text: [1] [2] [3] [4]
  148. Yang, Z.; Lichtenwalner, D.; Morris, A.; Krim, J.; Kingon, A. I. J. Micromech. Microeng. 2010, 20, 105028. doi:10.1088/0960-1317/20/10/105028
    Return to citation in text: [1] [2] [3]
  149. Czaplewski, D. A.; Nordquist, C. D.; Patrizi, G. A.; Kraus, G. M.; Cowan, W. D. J. Microelectromech. Syst. 2013, 22, 655–661. doi:10.1109/JMEMS.2013.2239256
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7] [8]
  150. Yang, Z.; Lichtenwalner, D. J.; Morris, A. S.; Krim, J.; Kingon, A. I. J. Microelectromech. Syst. 2009, 18, 287–295. doi:10.1109/JMEMS.2008.2010850
    Return to citation in text: [1] [2] [3] [4] [5] [6]
  151. Walker, M. J.; Berman, D.; Nordquist, C.; Krim, J. Tribol. Lett. 2011, 44, 305–314. doi:10.1007/s11249-011-9849-8
    Return to citation in text: [1] [2] [3] [4]
  152. Walker, M.; Nordquist, C.; Czaplewski, D.; Patrizi, G.; McGruer, N.; Krim, J. J. Appl. Phys. 2010, 107, 84509. doi:10.1063/1.3353991
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7]
  153. Patton, S. T.; Zabinski, J. S. Tribol. Lett. 2005, 18, 215–230. doi:10.1007/s11249-004-1778-3
    Return to citation in text: [1] [2] [3]
  154. Streller, F.; Wabiszewski, G. E.; Mangolini, F.; Feng, G.; Carpick, R. W. Adv. Mater. Interfaces 2014, 1, 1300120. doi:10.1002/admi.201300120
    Return to citation in text: [1] [2]
  155. Bhaskaran, H.; Sebastian, A.; Despont, M. IEEE Trans. Nanotechnol. 2009, 8, 128–131. doi:10.1109/TNANO.2008.2005199
    Return to citation in text: [1] [2]
  156. Brand, V.; Baker, M. S.; de Boer, M. P. Tribol. Lett. 2013, 51, 341–356. doi:10.1007/s11249-013-0166-2
    Return to citation in text: [1] [2] [3] [4] [5] [6]
  157. Hermance, H. W.; Egan, T. F. Bell Syst. Tech. J. 1958, 37, 739–776. doi:10.1002/j.1538-7305.1958.tb03885.x
    Return to citation in text: [1] [2] [3] [4] [5]
  158. Brand, V.; Saleh, M. E.; de Boer, M. P. Tribol. Int. 2015, 85, 48–55. doi:10.1016/j.triboint.2015.01.001
    Return to citation in text: [1] [2] [3] [4] [5] [6] [7]
  159. Li, M.; Tang, H. X.; Roukes, M. L. Nat. Nanotechnol. 2007, 2, 114–120. doi:10.1038/nnano.2006.208
    Return to citation in text: [1] [2]
  160. Lee, J.-O.; Kim, M.-W.; Ko, S.-D.; Kang, H.-O.; Bae, W.-H.; Kang, M.-H.; Kim, K.-N.; Yoo, D.-E.; Yoon, J.-B. 3-terminal nanoelectromechanical switching device in insulating liquid media for low voltage operation and reliability improvement. In 2009 IEEE International Electron Devices Meeting (IEDM), Baltimore, MD, U.S.A., Dec 7–9, 2009; IEEE Publishing: Piscataway, NJ, U.S.A., 2009; pp 1–4. doi:10.1109/iedm.2009.5424380
    Return to citation in text: [1] [2] [3]
  161. Ko, S.-D.; Lee, J. O.; Yang, H.-H.; Kim, M.-W.; Song, Y.-H.; Yoon, J.-B. Appl. Phys. Lett. 2011, 99, 113516. doi:10.1063/1.3640228
    Return to citation in text: [1]
  162. Russick, E. M.; Adkins, C. L. J.; Dyck, C. W. Supercritical Carbon Dioxide Extraction of Solvent from Micromachined Structures. In Supercritical Fluids; Abraham, M. A.; Sunol, A. A., Eds.; American Chemical Society: Washington, DC, U.S.A., 1997; pp 255–269. doi:10.1021/bk-1997-0670.ch018
    Return to citation in text: [1]
  163. Jafri, I. H.; Busta, H.; Walsh, S. T. Critical point drying and cleaning for MEMS technology. In Proceedings Volume 3880, MEMS Reliability for Critical and Space Applications, Santa Clara, CA, U.S.A., Aug 18, 1999; 1999; pp 51–58. doi:10.1117/12.359371
    Return to citation in text: [1]
  164. Bhushan, B. J. Vac. Sci. Technol., B: Nanotechnol. Microelectron.: Mater., Process., Meas., Phenom. 2003, 21, 2262. doi:10.1116/1.1627336
    Return to citation in text: [1]
  165. Luo, H.; Park, S.; Chan, H. Y. H.; Weaver, M. J. J. Phys. Chem. B 2000, 104, 8250–8258. doi:10.1021/jp001289+
    Return to citation in text: [1]
  166. Suzuki, K.; Tedrow, P. M. Phys. Rev. B 1998, 58, 11597–11602. doi:10.1103/PhysRevB.58.11597
    Return to citation in text: [1]
  167. Anderson, J. S.; Greenwood, N. N. Proc. R. Soc. London, Ser. A 1952, 215, 353–370. doi:10.1098/rspa.1952.0216
    Return to citation in text: [1]
  168. Slade, P. G. Electrical contacts: principles and applications; CRC Press, Inc.: Boca Raton, FL, U.S.A., 2013.
    Return to citation in text: [1] [2]
  169. Breckenridge, R. G.; Hosler, W. R. Phys. Rev. 1953, 91, 793–802. doi:10.1103/PhysRev.91.793
    Return to citation in text: [1]
  170. Yildiz, A.; Lisesivdin, S. B.; Kasap, M.; Mardare, D. J. Non-Cryst. Solids 2008, 354, 4944–4947. doi:10.1016/j.jnoncrysol.2008.07.009
    Return to citation in text: [1]
  171. Boxley, C. J.; White, H. S.; Gardner, C. E.; Macpherson, J. V. J. Phys. Chem. B 2003, 107, 9677–9680. doi:10.1021/jp034874u
    Return to citation in text: [1]
  172. Sullivan, J. P.; Barbour, J. C.; Dunn, R. G.; Son, K. A.; Montes, L. P.; Missert, N.; Copeland, R. G. The Electrical Properties of Native and Deposited Thin Aluminum Oxide Layers on Aluminum: Hydration Effects. In Proc. of the The Electrochemical Society Meeting, Boston, MA, U.S.A., Nov 1–6, 1998; 1998; pp 111–117.
    Return to citation in text: [1]
  173. Ramana, C. V.; Troitskaia, I. B.; Gromilov, S. A.; Atuchin, V. V. Ceram. Int. 2012, 38, 5251–5255. doi:10.1016/j.ceramint.2012.03.035
    Return to citation in text: [1]
  174. Ma, J.; Liu, Y.; Hao, P.; Wang, J.; Zhang, Y. Sci. Rep. 2016, 6, 18994. doi:10.1038/srep18994
    Return to citation in text: [1]
  175. Ding, W.; Calabri, L.; Chen, X.; Kohlhaas, K. M.; Ruoff, R. S. Compos. Sci. Technol. 2006, 66, 1112–1124. doi:10.1016/j.compscitech.2005.11.030
    Return to citation in text: [1]
  176. Somorjai, G. A.; Li, Y. Introduction to surface chemistry and catalysis; John Wiley & Sons: New York, NY, U.S.A., 2010.
    Return to citation in text: [1]
  177. Zebda, A.; Sabbah, H.; Ababou-Girard, S.; Solal, F.; Godet, C. Appl. Surf. Sci. 2008, 254, 4980–4991. doi:10.1016/j.apsusc.2008.01.147
    Return to citation in text: [1]
  178. Vanhellemont, J.; Swarnakar, A. K.; van der Biest, O. ECS Trans. 2014, 64, 283–292. doi:10.1149/06411.0283ecst
    Return to citation in text: [1]
  179. Wolpert, D.; Ampadu, P. Temperature Effects in Semiconductors. Managing temperature effects in nanoscale adaptive systems; Springer: Berlin, Germany, 2012; pp 13–33. doi:10.1007/978-1-4614-0748-5_2
    Return to citation in text: [1]
  180. Desai, P. D.; Chu, T. K.; James, H. M.; Ho, C. Y. J. Phys. Chem. Ref. Data 1984, 13, 1069–1096. doi:10.1063/1.555723
    Return to citation in text: [1]
  181. Oh, C.; Streller, F.; Ashurst, W. R.; Carpick, R. W.; de Boer, M. P. J. Micromech. Microeng. 2016, 26, 115020. doi:10.1088/0960-1317/26/11/115020
    Return to citation in text: [1]
  182. Oh, C.; Streller, F.; Carpick, R. W.; de Boer, M. P. Effectiveness of oxygen plasma versus UHV bakeout in cleaning MEMS switch surfaces. In 2015 IEEE 61st Holm Conference on Electrical Contacts (Holm), San Diego, CA, U.S.A., Oct 11–14, 2015; 2015; pp 358–362. doi:10.1109/HOLM.2015.7355121
    Return to citation in text: [1]
  183. de Boer, M. P.; Czaplewski, D. A.; Baker, M. S.; Wolfley, S. L.; Ohlhausen, J. A. J. Micromech. Microeng. 2012, 22, 105027. doi:10.1088/0960-1317/22/10/105027
    Return to citation in text: [1]
  184. Soon, B. W.; Qian, Y.; Ng, E. J.; Hong, V. A.; Yang, Y.; Ahn, C. H.; Kenny, T. W.; Lee, C. J. Microelectromech. Syst. 2015, 24, 1906–1915. doi:10.1109/JMEMS.2015.2451191
    Return to citation in text: [1]
  185. Majumder, S.; Lampen, J.; Morrison, R.; Maciel, J. A packaged, high-lifetime ohmic MEMS RF switch. In 2003 IEEE MTT-S International Microwave Symposium Digest, Philadelphia, PA, U.S.A., June 8–13, 2003; IEEE Publishing: Piscataway, NJ, U.S.A., 2003; pp 1935–1938. doi:10.1109/MWSYM.2003.1210537
    Return to citation in text: [1]
  186. Younis, M. I.; Miles, R.; Jordy, D. J. Micromech. Microeng. 2006, 16, 2463–2474. doi:10.1088/0960-1317/16/11/030
    Return to citation in text: [1] [2]
  187. Gladden, J. R.; Handzy, N. Z.; Belmonte, A.; Villermaux, E. Phys. Rev. Lett. 2005, 94, 035503. doi:10.1103/PhysRevLett.94.035503
    Return to citation in text: [1] [2]
  188. Yang, F.; Carpick, R. W.; Srolovitz, D. J. ACS Nano 2017, 11, 490–500. doi:10.1021/acsnano.6b06473
    Return to citation in text: [1]
  189. Peschot, A.; Poulain, C.; Souchon, F.; Charvet, P.-L.; Bonifaci, N.; Lesaint, O. Microelectron. Reliab. 2012, 52, 2261–2266. doi:10.1016/j.microrel.2012.06.044
    Return to citation in text: [1] [2] [3]
  190. Czarnecki, P.; Rottenberg, X.; Soussan, P.; Ekkels, P.; Muller, P.; Nolmans, P.; De Raedt, W.; Tilmans, H. A. C.; Puers, R.; Marchand, L.; De Wolf, I. Sens. Actuators, A 2009, 154, 261–268. doi:10.1016/j.sna.2008.07.003
    Return to citation in text: [1]
  191. Shea, H. R.; Gasparyan, A.; Chan, H. B.; Arney, S.; Frahm, R. E.; López, D.; Jin, S.; McConnell, R. P. IEEE Trans. Device Mater. Reliab. 2004, 4, 198–207. doi:10.1109/TDMR.2004.826350
    Return to citation in text: [1]
  192. Peng, Z.; Yuan, X.; Hwang, J. C. M.; Forehand, D. I.; Goldsmith, C. L. IEEE Trans. Microwave Theory Tech. 2007, 55, 2911–2918. doi:10.1109/TMTT.2007.909475
    Return to citation in text: [1]
  193. Sounart, T. L.; Panchawagh, H. V.; Mahajan, R. L. Appl. Phys. Lett. 2010, 96, 203505. doi:10.1063/1.3389491
    Return to citation in text: [1] [2]
  194. Kong, D.; Cha, J. J.; Lai, K.; Peng, H.; Analytis, J. G.; Meister, S.; Chen, Y.; Zhang, H.-J.; Fisher, I. R.; Shen, Z.-X.; Cui, Y. ACS Nano 2011, 5, 4698–4703. doi:10.1021/nn200556h
    Return to citation in text: [1] [2]
Other Beilstein-Institut Open Science Activities