Search results

Search for "etching" in Full Text gives 324 result(s) in Beilstein Journal of Nanotechnology. Showing first 200.

Sidewall angle tuning in focused electron beam-induced processing

  • Sangeetha Hari,
  • Willem F. van Dorp,
  • Johannes J. L. Mulders,
  • Piet H. F. Trompenaars,
  • Pieter Kruit and
  • Cornelis W. Hagen

Beilstein J. Nanotechnol. 2024, 15, 447–456, doi:10.3762/bjnano.15.40

Graphical Abstract
  • applications this is highly undesirable, especially when neighboring structures are interconnected. A new technique combining FEBID and focused electron beam-induced etching (FEBIE) has been developed to fabricate structures with vertical sidewalls. The sidewalls of carbon FEBID structures have been modified
  • by etching with water and it is shown, using transmission electron microscopy imaging, that the sidewall angle can be tuned from outward to inward by controlling the etch position on the sidewall. A surprising under-etching due to the emission of secondary electrons from the deposit was observed
  • , which was not indicated by a simple model based on etching. An analytical model was developed to include continued etching once the deposit has been removed at the exposed pixel. At this stage the secondary electrons from the substrate then cause the adsorbed water molecules to become effective in
PDF
Album
Supp Info
Full Research Paper
Published 23 Apr 2024

Heat-induced morphological changes in silver nanowires deposited on a patterned silicon substrate

  • Elyad Damerchi,
  • Sven Oras,
  • Edgars Butanovs,
  • Allar Liivlaid,
  • Mikk Antsov,
  • Boris Polyakov,
  • Annamarija Trausa,
  • Veronika Zadin,
  • Andreas Kyritsakis,
  • Loïc Vidal,
  • Karine Mougin,
  • Siim Pikker and
  • Sergei Vlassov

Beilstein J. Nanotechnol. 2024, 15, 435–446, doi:10.3762/bjnano.15.39

Graphical Abstract
  • HF solution in order to replicate the resist pattern in the oxide layer; 3) silicon etching in tetramethylammonium hydroxide (TMAH) solution at 90 °C to create the etch pits; 4) rinse in HF to remove the remaining SiO2. The resulting substrates had rectangular holes with a side length in the order of
PDF
Album
Supp Info
Full Research Paper
Published 22 Apr 2024

On the mechanism of piezoresistance in nanocrystalline graphite

  • Sandeep Kumar,
  • Simone Dehm and
  • Ralph Krupke

Beilstein J. Nanotechnol. 2024, 15, 376–384, doi:10.3762/bjnano.15.34

Graphical Abstract
  • etching of SiO2. Using a clean glass wafer, the NCG/PMMA film was transferred from the NaOH solution to a clean water beaker and allowed to float on the top. The cleaning was repeated three times to ensure the no residues of NaOH remained on the NCG film. The film was then removed from the water using a
PDF
Album
Full Research Paper
Published 08 Apr 2024

Modulated critical currents of spin-transfer torque-induced resistance changes in NiCu/Cu multilayered nanowires

  • Mengqi Fu,
  • Roman Hartmann,
  • Julian Braun,
  • Sergej Andreev,
  • Torsten Pietsch and
  • Elke Scheer

Beilstein J. Nanotechnol. 2024, 15, 360–366, doi:10.3762/bjnano.15.32

Graphical Abstract
  • vertically patterned magnetic nanowires on a Si substrate. With this approach we fabricated three-dimensional nanowire-based spin valve devices without the need of complex etching processes or additional spacer coating. Through this method, we successfully obtained NiCu/Cu multilayered nanowire arrays with a
  • fabricated through a newly developed method, which enables to selectively deposit the magnetic nanowires on the Si substrate and to fabricate three-dimensional (3D) devices contacting a few or even single nanowires without complex etching processes [17] or additional spacer coating [18][19]. Results and
  • device fabrication, and scanning electron microscopy (SEM) images of the devices during the fabrication process are presented in Supporting Information File 1. In most reported works, the nanowires were deposited in all pores of the AAO templates [18][19][20][21]. Additional etching steps or coating
PDF
Album
Supp Info
Full Research Paper
Published 03 Apr 2024

Design, fabrication, and characterization of kinetic-inductive force sensors for scanning probe applications

  • August K. Roos,
  • Ermes Scarano,
  • Elisabet K. Arvidsson,
  • Erik Holmgren and
  • David B. Haviland

Beilstein J. Nanotechnol. 2024, 15, 242–255, doi:10.3762/bjnano.15.23

Graphical Abstract
  • -beam lithography and reactive-ion etching. We simulate the electromagnetic response of the meandering nanowire inductors using Sonnet, a quasi-3D electromagnetic simulator [32], which has the feature of including sheet kinetic inductance Lk,□. We begin by simulating the meandering inductor itself to
  • Plasmapro 100 ICP300. (g) Back-side through-etch. Before etching through the back side of the wafer, we first spin a protective positive resist on the front side and pattern an opening, or a “trench”, around the chip, which we will use to complete the etch once a larger portion of the wafer has been etched
  • etching conditions across the wafer. To some extent, one could change the mask design and adjust the dimensions of the cantilever to compensate for this effect. Using the mean value of 641 ± 42 kHz and adjusting the Young’s modulus of our Si-N plate to 208 GPa, we find good agreement between mechanical
PDF
Album
Full Research Paper
Published 15 Feb 2024

Graphene removal by water-assisted focused electron-beam-induced etching – unveiling the dose and dwell time impact on the etch profile and topographical changes in SiO2 substrates

  • Aleksandra Szkudlarek,
  • Jan M. Michalik,
  • Inés Serrano-Esparza,
  • Zdeněk Nováček,
  • Veronika Novotná,
  • Piotr Ozga,
  • Czesław Kapusta and
  • José María De Teresa

Beilstein J. Nanotechnol. 2024, 15, 190–198, doi:10.3762/bjnano.15.18

Graphical Abstract
  • processes using the so-called focused electron-beam-induced etching (FEBIE), with a spatial resolution of ten nanometers. Nanopatterning graphene with such a method in one single step and without using a physical mask or resist is a very appealing approach. During the process, on top of graphene
  • nanopatterning, we have found significant morphological changes induced in the SiO2 substrate even at low electron dose values (<8 nC/μm2). We demonstrate that graphene etching and topographical changes in SiO2 substrates can be controlled via electron beam parameters such as dwell time and dose. Keywords
  • : direct writing; dwell time; electron dose; etching; graphene; maskless lithography; nanopatterning; Introduction The discovery of extraordinary and controllable electrical conductivity in graphene back in 2004 made it the most recognized 2D material [1]. The newly discovered phenomena, such as
PDF
Album
Full Research Paper
Published 07 Feb 2024

TEM sample preparation of lithographically patterned permalloy nanostructures on silicon nitride membranes

  • Joshua Williams,
  • Michael I. Faley,
  • Joseph Vimal Vas,
  • Peng-Han Lu and
  • Rafal E. Dunin-Borkowski

Beilstein J. Nanotechnol. 2024, 15, 1–12, doi:10.3762/bjnano.15.1

Graphical Abstract
  • using three different fabrication methods: lift-off, ion beam etching (IBE), and stencil lithography. They were further analyzed using different instruments, including scanning electron microscopy, LTEM, and electron holography. A bilayer of positive PMMA resist was utilized in the first fabrication
  • method to form an undercut structure that guarantees a clean lift-off procedure. The second approach used dry etching with an Ar beam to etch a thin Py film, while an electron-beam-patterned negative resist mask kept the desired structure. In the third process, nanostencils (shadow masks) with
  • mode to avoid melting of the PMMA resist. The second approach involved etching a thin Py film with an ion beam while preserving the intended structure with an electron-beam-patterned negative resist mask. Redeposition of etched material was found to construct fences at the edges of the structures
PDF
Album
Supp Info
Full Research Paper
Published 02 Jan 2024

A combined gas-phase dissociative ionization, dissociative electron attachment and deposition study on the potential FEBID precursor [Au(CH3)2Cl]2

  • Elif Bilgilisoy,
  • Ali Kamali,
  • Thomas Xaver Gentner,
  • Gerd Ballmann,
  • Sjoerd Harder,
  • Hans-Peter Steinrück,
  • Hubertus Marbach and
  • Oddur Ingólfsson

Beilstein J. Nanotechnol. 2023, 14, 1178–1199, doi:10.3762/bjnano.14.98

Graphical Abstract
  • can also be seen for the depositions created with 1.5 and 3 nA beam currents, depicted in Figure 4b with green and purple lines, respectively. However, the depth of the dip decreases with increasing applied beam current. This indicates that an etching process occurs simultaneously with the deposition
  • process, wherein the etching effect is less pronounced than that of the deposition for all beam currents. Similar etching effects were observed with other halogenated precursors, where it was reported that one of the expected effects when working with halogen-based precursors is the observation of etching
  • as well as deposition [22][43]. In these studies, the release of halogen ligands was indicated as the main reason for the etching process. FEBID on thermally cleaned Si(111) In several UHV-FEBID studies [43][44][45] it has been shown that an UHV setup alone is not sufficient to produce FEBID
PDF
Album
Supp Info
Full Research Paper
Published 06 Dec 2023

Spatial mapping of photovoltage and light-induced displacement of on-chip coupled piezo/photodiodes by Kelvin probe force microscopy under modulated illumination

  • Zeinab Eftekhari,
  • Nasim Rezaei,
  • Hidde Stokkel,
  • Jian-Yao Zheng,
  • Andrea Cerreta,
  • Ilka Hermes,
  • Minh Nguyen,
  • Guus Rijnders and
  • Rebecca Saive

Beilstein J. Nanotechnol. 2023, 14, 1059–1067, doi:10.3762/bjnano.14.87

Graphical Abstract
  • (PBZT) and a 150 nm LNO as the top electrode were deposited. The wafer was patterned by a standard photolithographic process, starting with the application and patterning of the photoresist mask for defining the device areas. Subsequently, the excess PBZT and LNO were removed by a wet etching process
  • finalized by etching circular holes from the backside of the wafer to obtain thin membranes. The sizes of these holes were defined by applying and patterning a photoresist on the backside of the wafer, which was then anisotropically etched by deep reactive ion etching (DRIE) using SF6, O2, and C4F8 gases
  • previous measurements or the etching process and hence its lower conductivity, and iii) other factors such as improper light alignment or SPV underestimation by KPFM. Figure 3c presents the mechanical displacement of the membrane normalized to the photovoltage. These values were obtained by dividing the
PDF
Album
Supp Info
Full Research Paper
Published 06 Nov 2023

Fragmentation of metal(II) bis(acetylacetonate) complexes induced by slow electrons

  • Janina Kopyra and
  • Hassan Abdoul-Carime

Beilstein J. Nanotechnol. 2023, 14, 980–987, doi:10.3762/bjnano.14.81

Graphical Abstract
  • . Metal bis(acetylacetonate) complexes are of interest for many thin film fabrication techniques (e.g., chemical vapor deposition [9], atomic layer epitaxy [10], or atomic layer etching [11]) and as precursors for carbon materials, such as carbon nanotubes and carbon onion particles [12], or metal oxide
PDF
Album
Full Research Paper
Published 26 Sep 2023

Isolation of cubic Si3P4 in the form of nanocrystals

  • Polina K. Nikiforova,
  • Sergei S. Bubenov,
  • Vadim B. Platonov,
  • Andrey S. Kumskov,
  • Nikolay N. Kononov,
  • Tatyana A. Kuznetsova and
  • Sergey G. Dorofeev

Beilstein J. Nanotechnol. 2023, 14, 971–979, doi:10.3762/bjnano.14.80

Graphical Abstract
  • FTIR spectroscopy of the etching product are shown in Figure 1). The bands with the wavenumbers of 2105 and 2900 cm−1, and the broad signal at 3400 cm−1 were attributed to vibrations of Si–H, C–H, and O–H bonds, respectively [25]. The IR spectra of the unetched Si NPs display bands in a wavenumber
  • of vacuum annealing of the Si NPs at 900 °C and subsequent HF etching followed by annealing with red phosphorus at 670 °C was carried out. The successful synthesis of cubic Si3P4 under these conditions confirmed that the external amorphous silicon was not the defining factor in the formation of the
  • through diffusion doping of wafers. Incorporating a gaseous etching step into the annealing process widens the applicable use to syntheses of novel nanosized silicon selenides, arsenides, and sulfides. Methods Distilled water, acetonitrile (reagent grade), 1-dodecanol (analytical grade), and hexane
PDF
Album
Supp Info
Full Research Paper
Published 26 Sep 2023

N-Heterocyclic carbene-based gold etchants

  • Robert B. Chevalier,
  • Justin Pantano,
  • Matthew K. Kiesewetter and
  • Jason R. Dwyer

Beilstein J. Nanotechnol. 2023, 14, 865–871, doi:10.3762/bjnano.14.71

Graphical Abstract
  • dissolution of gold. We present scanning electron micrographs and elemental imaging analyses by energy dispersive X-ray spectroscopy to examine the effect of solutions of each species on the gold film. This work highlights the risk of unwanted etching during some routes to NHC-based surface functionalization
  • but also the potential for deliberate etching, with the outcome determined by choice of chemically synthesized organic species and solvent. Keywords: gold etchant; microfabrication; N-heterocyclic carbenes; self-assembled monolayer (SAM); thin films; Introduction Self-assembled monolayers (SAMs) are
  • observation contrasts with the localized depletion of gold during etching by solutions of 1 in DCM and THF, as shown in the electron micrographs in Figure 3b,c. The formation of voids in the gold film allowed for comparison of this material loss between different samples without the need to standardize
PDF
Album
Supp Info
Full Research Paper
Published 21 Aug 2023

Silver-based SERS substrates fabricated using a 3D printed microfluidic device

  • Phommachith Sonexai,
  • Minh Van Nguyen,
  • Bui The Huy and
  • Yong-Ill Lee

Beilstein J. Nanotechnol. 2023, 14, 793–803, doi:10.3762/bjnano.14.65

Graphical Abstract
  • assembled into a monolayer on a liquid/air interface and deposited onto a porous silicon array prepared through a metal-assisted chemical etching approach. By using the developed microfluidic device, enhancement factors of the Raman signal for rhodamine B (at 10−9 M) and melamine (at 10−7 M) of 8.59 × 106
  • chemical etching (MACE), the wafer pieces were placed in a beaker containing an etchant solution made up of 5 mL of 4.6 M HF and 5 mL of 0.02 M AgNO3. The etching timings were 0, 5, 10, 20, 40, and 80 min. After the etching process, to remove the as-generated Ag dendrites, the substrates were immediately
  • . Optimization of the PS@Ag SERS substrate fabrication To optimize the etching time of PS on the wafers, a RhB solution (10−5 M) was chosen for estimating the SERS signal. The wafer pieces were etched for 0, 5, 10, 20, 40, and 80 min. The corresponding substrates were denoted PS0min@Ag, PS5min@Ag, PS10min@Ag
PDF
Album
Supp Info
Full Research Paper
Published 21 Jul 2023

SERS performance of GaN/Ag substrates fabricated by Ag coating of GaN platforms

  • Magdalena A. Zając,
  • Bogusław Budner,
  • Malwina Liszewska,
  • Bartosz Bartosewicz,
  • Łukasz Gutowski,
  • Jan L. Weyher and
  • Bartłomiej J. Jankiewicz

Beilstein J. Nanotechnol. 2023, 14, 552–564, doi:10.3762/bjnano.14.46

Graphical Abstract
  • plasmonic metals or their alloys [23][24][25][26][27][28][29][30][31][32]. The chemical and electrochemical etching of GaN heteroepitaxial layers leads to various nanostructures formed on line defects (dislocations), such as straight nanopillars, bunches of nanopillars, and pits [31][32]. The nanostructured
PDF
Album
Full Research Paper
Published 03 May 2023

Observation of multiple bulk bound states in the continuum modes in a photonic crystal cavity

  • Rui Chen,
  • Yi Zheng,
  • Xingyu Huang,
  • Qiaoling Lin,
  • Chaochao Ye,
  • Meng Xiong,
  • Martijn Wubs,
  • Yungui Ma,
  • Minhao Pu and
  • Sanshui Xiao

Beilstein J. Nanotechnol. 2023, 14, 544–551, doi:10.3762/bjnano.14.45

Graphical Abstract
  • To verify the multiple modes in the bandgap mirror-assisted BIC cavity, several samples with different sidelengths (w) were fabricated by electron beam lithography (EBL) and inductively coupled plasma (ICP) etching on 500 nm thick AlGaAs on a sapphire wafer. The parameter sweep of w around 400 nm was
  • nonradiative part of the Q factor mentioned above. Qnr is caused by structural imperfections and disorders, including roughness on the surface, tilted sidewalls, and incomplete etching of the AlGaAs layer, as shown in Figure 3a. Theoretically, resonances of high-order modes have lower Q factors and longer
PDF
Album
Full Research Paper
Published 27 Apr 2023

A mid-infrared focusing grating coupler with a single circular arc element based on germanium on silicon

  • Xiaojun Zhu,
  • Shuai Li,
  • Ang Sun,
  • Yongquan Pan,
  • Wen Liu,
  • Yue Wu,
  • Guoan Zhang and
  • Yuechun Shi

Beilstein J. Nanotechnol. 2023, 14, 478–484, doi:10.3762/bjnano.14.38

Graphical Abstract
  • couplers requiring secondary etching, the proposed full-etch grating coupler structure can reduce the complexity of fabrication and can provide a prospective platform for MIR photonic integration and photonic biosensor detection. Keywords: circular arc element; focusing grating coupler; germanium-on
  • MIR FGC consists of a section of tapered linear gratings and a single CAE. Figure 1b shows the cross-sectional view of the proposed MIR FGC. The grating period is Λ, the width of the trenches is w, and the duty cycle is defined as f = w/Λ. The Ge waveguide thickness is hetch, which is also the etching
  • plasma etching (ICP). EBL is used to produce lithographically the grating pattern into the resist, which can be further transferred onto the Ge layer by ICP. This is a simple manufacturing process that requires only one single etch step. Then, we can use a continuous-wave single-frequency tunable MIR
PDF
Album
Full Research Paper
Published 06 Apr 2023

Combining physical vapor deposition structuration with dealloying for the creation of a highly efficient SERS platform

  • Adrien Chauvin,
  • Walter Puglisi,
  • Damien Thiry,
  • Cristina Satriano,
  • Rony Snyders and
  • Carla Bittencourt

Beilstein J. Nanotechnol. 2023, 14, 83–94, doi:10.3762/bjnano.14.10

Graphical Abstract
  • of the less noble component of an alloy creating a skeleton made of the noble element [23]. Dealloying is usually accomplished through a chemical step in which the alloy is dipped into an etching solution to remove the less noble metal [24]. This process leads to highly homogenous, porous structure
  • to the creation of a AgCl layer [41][42], more pronounced for a lower amount of Ag, which delays the dealloying [39][43]. This behavior can be seen for the sample AlAg30. The aluminum residue is stable after 10 min in an HCl solution and then drops to 25 atom % after 60 min in the etching solution
  • by the confinement effect which slows down the dealloying process in smaller pores since etching byproducts stay trapped and limit further dealloying of the structure. In other words, the extraction of AlCl3 formed during dealloying and confined in small pores is difficult since no solution agitation
PDF
Album
Supp Info
Full Research Paper
Published 11 Jan 2023

Upper critical magnetic field in NbRe and NbReN micrometric strips

  • Zahra Makhdoumi Kakhaki,
  • Antonio Leo,
  • Federico Chianese,
  • Loredana Parlato,
  • Giovanni Piero Pepe,
  • Angela Nigro,
  • Carla Cirillo and
  • Carmine Attanasio

Beilstein J. Nanotechnol. 2023, 14, 45–51, doi:10.3762/bjnano.14.5

Graphical Abstract
  • voltage contacts of L = 90 μm. The NbReN films were structured by using direct laser writer exposure followed by argon ion etching into constriction-type bridges with w = 2 μm and L = 700 μm. Further details on the fabrication procedure of the films are reported elsewhere [4][8]. The superconducting
PDF
Album
Full Research Paper
Published 05 Jan 2023

Gap-directed chemical lift-off lithographic nanoarchitectonics for arbitrary sub-micrometer patterning

  • Chang-Ming Wang,
  • Hong-Sheng Chan,
  • Chia-Li Liao,
  • Che-Wei Chang and
  • Wei-Ssu Liao

Beilstein J. Nanotechnol. 2023, 14, 34–44, doi:10.3762/bjnano.14.4

Graphical Abstract
  • the rectangle function in ZEN 2012 Service Pack 2 software (Carl Zeiss Microscopy, Jena, Germany). XPS spectra after each surface modification step were collected with ULVAC-PHI X-ray photoelectron spectrometer (PHI QuanteraII, Kanagawa, Japan). Selective wet chemical etching processes and metal
  • structure characterization To transfer chemical patterns created by CLL to the underneath metal layer, a wet chemical etching process was adopted. After lifting the PDMS stamp from a SAM-modified Au substrate, the Au surface was immersed in an aqueous mixture containing 40 mM iron nitrate and 60 mM thiourea
  • to etch the exposed underlying Au film. After 30 min of etching, the substrate was rinsed with deionized water and blown dry with nitrogen gas. The transferred metal structures were then characterized by optical microscopy, scanning election microscopy (SEM, JEOL JSM-7600F, Tokyo, Japan) and atomic
PDF
Album
Full Research Paper
Published 04 Jan 2023

Observation of collective excitation of surface plasmon resonances in large Josephson junction arrays

  • Roger Cattaneo,
  • Mikhail A. Galin and
  • Vladimir M. Krasnov

Beilstein J. Nanotechnol. 2022, 13, 1578–1588, doi:10.3762/bjnano.13.132

Graphical Abstract
  • using photolithography and reactive ion etching. The JJ sensor with variable thickness and a width of ≈100 nm is made by Ga+ focused ion beam etching. The JJ is made small in order to increase its resistance Rn to approx. 50 Ω, which is needed for a good impedance matching with the antenna. In order to
PDF
Album
Full Research Paper
Published 28 Dec 2022

Coherent amplification of radiation from two phase-locked Josephson junction arrays

  • Mikhail A. Galin,
  • Vladimir M. Krasnov,
  • Ilya A. Shereshevsky,
  • Nadezhda K. Vdovicheva and
  • Vladislav V. Kurin

Beilstein J. Nanotechnol. 2022, 13, 1445–1457, doi:10.3762/bjnano.13.119

Graphical Abstract
  • self-aligning process using e-beam lithography and reactive ion etching [16][17]. Similar arrays were studied earlier in [9][12][13], where additional information about sample characterization can be found. Figure 1a,b shows the layout of “sample-1”. It has been fabricated on a 1 × 1 cm2 silicon
PDF
Album
Full Research Paper
Published 06 Dec 2022

Double-layer symmetric gratings with bound states in the continuum for dual-band high-Q optical sensing

  • Chaoying Shi,
  • Jinhua Hu,
  • Xiuhong Liu,
  • Junfang Liang,
  • Jijun Zhao,
  • Haiyan Han and
  • Qiaofen Zhu

Beilstein J. Nanotechnol. 2022, 13, 1408–1417, doi:10.3762/bjnano.13.116

Graphical Abstract
  • structure can be fabricated as follows [56]. At first, the gratings of the bottom layer are fabricated using electron beam lithography (EBL) and reactive ion etching (RIE) on a SOI chip with a single crystalline silicon device layer and a buried oxide (BOX), where this SOI chip serves as the receiving
  • polishing and deep RIE, followed by removal of the BOX layer of the donor substrate by wet etching using hydrofluoric acid. Finally, the gratings are fabricated on the top layer with EBL and RIE, while the silicon handle and BOX layer on top are removed in the same way. It should be pointed out that the
PDF
Album
Full Research Paper
Published 25 Nov 2022

Studies of probe tip materials by atomic force microscopy: a review

  • Ke Xu and
  • Yuzhe Liu

Beilstein J. Nanotechnol. 2022, 13, 1256–1267, doi:10.3762/bjnano.13.104

Graphical Abstract
  • , followed by imaging with commercially available silicon tips. Individual SWNTs are extracted from the substrates to produce superior SWNT probes in this process. This type of tip can be applied for etching by adjusting the length of the nanotubes under 2 nm control. In fluid and air, this type of
PDF
Album
Review
Published 03 Nov 2022

Roll-to-roll fabrication of superhydrophobic pads covered with nanofur for the efficient clean-up of oil spills

  • Patrick Weiser,
  • Robin Kietz,
  • Marc Schneider,
  • Matthias Worgull and
  • Hendrik Hölscher

Beilstein J. Nanotechnol. 2022, 13, 1228–1239, doi:10.3762/bjnano.13.102

Graphical Abstract
  • using various dry/wet etching techniques including electrochemical HF etching, stain etching, metal-assisted etching, and reactive ion etching [9][11]. So-called “nanograss” or “black silicon” is a surface modification of silicon where the surface is covered with millions of tiny needle-like structures
  • with high aspect ratio. These needles render superhydrophobic properties to the surface. Such surfaces can either be prepared using a RIE process [12] or a laser-assisted etching process described by Mazur et al. [13], which requires expensive silicon wafers as substrate as well as a femtosecond laser
PDF
Album
Supp Info
Full Research Paper
Published 31 Oct 2022

Design of surface nanostructures for chirality sensing based on quartz crystal microbalance

  • Yinglin Ma,
  • Xiangyun Xiao and
  • Qingmin Ji

Beilstein J. Nanotechnol. 2022, 13, 1201–1219, doi:10.3762/bjnano.13.100

Graphical Abstract
  • prefer the ʟ-(+)-tartrate oxidation, whereas the etched films in ᴅ-(−)-tartaric acid tend to oxidize ᴅ-(−)-tartrate. This indicated that the produced chiral surfaces of the CuO films from the etching process may regulate the chiral selective reactions on the surface. Jie et al. synthesized chiral R/L
PDF
Album
Review
Published 27 Oct 2022
Other Beilstein-Institut Open Science Activities