Search results

Search for "lithography" in Full Text gives 303 result(s) in Beilstein Journal of Nanotechnology. Showing first 200.

Sidewall angle tuning in focused electron beam-induced processing

  • Sangeetha Hari,
  • Willem F. van Dorp,
  • Johannes J. L. Mulders,
  • Piet H. F. Trompenaars,
  • Pieter Kruit and
  • Cornelis W. Hagen

Beilstein J. Nanotechnol. 2024, 15, 447–456, doi:10.3762/bjnano.15.40

Graphical Abstract
  • etching the deposit from below, resulting in under-etched structures. The evolution of the sidewall angle during etching has also been experimentally observed in a scanning electron microscope by continuously monitoring the secondary electron detector signal. Keywords: electron lithography; FEBID; FEBIE
  • using focused ion beam (FIB) milling and shown as an electron tilt image in Figure 1b, clearly demonstrates the Gaussian shape. For lithography applications, however, both the long tails and the Gaussian cross section are highly undesirable. The tails may form interconnects to neighboring lines, and the
  • which the sidewall angle becomes 90°. The method described here to make vertical sidewalls of FEBID deposits has the potential to make FEBIP a more competitive technology for lithography applications. Experimental The FEBID and FEBIE experiments were carried out in a Thermo Fisher Scientific Helios 650
PDF
Album
Supp Info
Full Research Paper
Published 23 Apr 2024

Heat-induced morphological changes in silver nanowires deposited on a patterned silicon substrate

  • Elyad Damerchi,
  • Sven Oras,
  • Edgars Butanovs,
  • Allar Liivlaid,
  • Mikk Antsov,
  • Boris Polyakov,
  • Annamarija Trausa,
  • Veronika Zadin,
  • Andreas Kyritsakis,
  • Loïc Vidal,
  • Karine Mougin,
  • Siim Pikker and
  • Sergei Vlassov

Beilstein J. Nanotechnol. 2024, 15, 435–446, doi:10.3762/bjnano.15.39

Graphical Abstract
  • silicon substrates with square holes were prepared from (100) silicon wafers (Semiconductor Wafer, Inc.) with 50 nm thermal oxide in four steps as follows: 1) conventional optical lithography process to produce the desired pattern in a photoresist on the wafer; 2) selective removal of SiO2 using buffered
PDF
Album
Supp Info
Full Research Paper
Published 22 Apr 2024

On the mechanism of piezoresistance in nanocrystalline graphite

  • Sandeep Kumar,
  • Simone Dehm and
  • Ralph Krupke

Beilstein J. Nanotechnol. 2024, 15, 376–384, doi:10.3762/bjnano.15.34

Graphical Abstract
  • in the structure shown in Figure 1b using e-beam lithography. There were no metal films deposited on NCG, and the electrical contact was made between gold spring contacts and NCG directly. For Raman measurements, S1805 (1:10 dilution with PGMEA) was spin-coated on both sides of the flexible glass
PDF
Album
Full Research Paper
Published 08 Apr 2024

Design, fabrication, and characterization of kinetic-inductive force sensors for scanning probe applications

  • August K. Roos,
  • Ermes Scarano,
  • Elisabet K. Arvidsson,
  • Erik Holmgren and
  • David B. Haviland

Beilstein J. Nanotechnol. 2024, 15, 242–255, doi:10.3762/bjnano.15.23

Graphical Abstract
  • -beam lithography and reactive-ion etching. We simulate the electromagnetic response of the meandering nanowire inductors using Sonnet, a quasi-3D electromagnetic simulator [32], which has the feature of including sheet kinetic inductance Lk,□. We begin by simulating the meandering inductor itself to
  • -ion etch (RIE) process in a Plasmapro 100 ICP300 from Oxford Instruments, with an etch rate of roughly 8 nm/min. (e) Fine circuit pattern. Electron-beam lithography defines the finer structures, such as the meandering nanowire inductor, the shunt inductor, and the interdigital gap of the capacitor. We
  • etch under the triangular silicon nitride plate and form a very straight clamping line to the Si substrate. However, the KOH etch is slow compared to the isotropic RIE process and attacks the Nb-Ti-N superconducting film. An additional lithography step was needed to protect the superconducting circuit
PDF
Album
Full Research Paper
Published 15 Feb 2024

Ion beam processing of DNA origami nanostructures

  • Leo Sala,
  • Agnes Zerolová,
  • Violaine Vizcaino,
  • Alain Mery,
  • Alicja Domaracka,
  • Hermann Rothard,
  • Philippe Boduch,
  • Dominik Pinkas and
  • Jaroslav Kocišek

Beilstein J. Nanotechnol. 2024, 15, 207–214, doi:10.3762/bjnano.15.20

Graphical Abstract
  • by ion beams, modeling ion implantation, lithography, and sputtering conditions. Structural changes in 2D DNA origami nanostructures deposited on Si are analyzed using AFM imaging. The observed effects on DNA origami include structure height decrease or increase upon fast heavy ion irradiation in
  • in the present context are works on the use of DNA origami nanostructures in top-down or bottom-up nanopatterning approaches [7][8][9][10]. So far, DNA origami has been proposed only as a resist or as a platform to precisely arrange nanostructure precursors in lithography [11][12][13]. Incorporating
  • origami but also their transformation upon irradiation. FIB processing Inspired by the shape preservation of DNA origami nanostructures under ion beam irradiation, we explored the damage response under a conventional focused ion beam typically used in lithography. Lines of about ∼140 nm in width ∼40 nm in
PDF
Album
Supp Info
Full Research Paper
Published 12 Feb 2024

Graphene removal by water-assisted focused electron-beam-induced etching – unveiling the dose and dwell time impact on the etch profile and topographical changes in SiO2 substrates

  • Aleksandra Szkudlarek,
  • Jan M. Michalik,
  • Inés Serrano-Esparza,
  • Zdeněk Nováček,
  • Veronika Novotná,
  • Piotr Ozga,
  • Czesław Kapusta and
  • José María De Teresa

Beilstein J. Nanotechnol. 2024, 15, 190–198, doi:10.3762/bjnano.15.18

Graphical Abstract
  • extraordinary mechanical and electronic properties. Although many years have passed since its discovery, manipulating single graphene layers is still challenging using standard resist-based lithography techniques. Recently, it has been shown that it is possible to etch graphene directly in water-assisted
  • : direct writing; dwell time; electron dose; etching; graphene; maskless lithography; nanopatterning; Introduction The discovery of extraordinary and controllable electrical conductivity in graphene back in 2004 made it the most recognized 2D material [1]. The newly discovered phenomena, such as
  • communication devices. All those future technologies will require high-precision lithography techniques with excellent lateral resolution, high throughput, and minimized possibility of material damage. In the last decade, several approaches have been made to provide the most suitable method for patterning
PDF
Album
Full Research Paper
Published 07 Feb 2024

Measurements of dichroic bow-tie antenna arrays with integrated cold-electron bolometers using YBCO oscillators

  • Leonid S. Revin,
  • Dmitry A. Pimanov,
  • Alexander V. Chiginev,
  • Anton V. Blagodatkin,
  • Viktor O. Zbrozhek,
  • Andrey V. Samartsev,
  • Anastasia N. Orlova,
  • Dmitry V. Masterov,
  • Alexey E. Parafin,
  • Victoria Yu. Safonova,
  • Anna V. Gordeeva,
  • Andrey L. Pankratov,
  • Leonid S. Kuzmin,
  • Anatolie S. Sidorenko,
  • Silvia Masi and
  • Paolo de Bernardis

Beilstein J. Nanotechnol. 2024, 15, 26–36, doi:10.3762/bjnano.15.3

Graphical Abstract
  • for the 240 GHz channel is 34.8 GHz, and the maximum absorption occurs at a frequency of 239.6 GHz. Fabrication of samples of receiving systems with CEBs The samples and the sample blanks with electronic lithography, ready for electron beam evaporation, were fabricated at the Chalmers University of
  • Microstructures of the Russian Academy of Sciences (IPM RAS), with subsequent measurements of the samples in the sorption 3He refrigerator of our laboratory. The 210/240 GHz receiving system is fabricated using a two-layer technology (two lithography steps). During the first photolithography step, a layer of
  • contact pads, DC lines, and antennas is made. The second electronic lithography step is used for the exposition of the bolometric layer. During photolithography, the first exposure was carried out with two photoresists. This is because the DC linewidth was 3 µm, and the use of a single photoresist would
PDF
Album
Full Research Paper
Published 04 Jan 2024

TEM sample preparation of lithographically patterned permalloy nanostructures on silicon nitride membranes

  • Joshua Williams,
  • Michael I. Faley,
  • Joseph Vimal Vas,
  • Peng-Han Lu and
  • Rafal E. Dunin-Borkowski

Beilstein J. Nanotechnol. 2024, 15, 1–12, doi:10.3762/bjnano.15.1

Graphical Abstract
  • using three different fabrication methods: lift-off, ion beam etching (IBE), and stencil lithography. They were further analyzed using different instruments, including scanning electron microscopy, LTEM, and electron holography. A bilayer of positive PMMA resist was utilized in the first fabrication
  • information down to the nanometer/atomic scale. However, the corresponding samples need to be prepared on electron-beam-transparent membranes, which are very fragile and can easily break during standard lithography procedures. Although a lift-off approach has been demonstrated [20], alternative methods may be
  • . Fences and edge roughness from the imperfect lift-off process were reported to influence the magnetic properties of nanostructures [22]. The third method, stencil lithography, makes use of a shadow mask, which was fabricated by milling submicrometer apertures on a conventional TEM grid using a focused
PDF
Album
Supp Info
Full Research Paper
Published 02 Jan 2024

A combined gas-phase dissociative ionization, dissociative electron attachment and deposition study on the potential FEBID precursor [Au(CH3)2Cl]2

  • Elif Bilgilisoy,
  • Ali Kamali,
  • Thomas Xaver Gentner,
  • Gerd Ballmann,
  • Sjoerd Harder,
  • Hans-Peter Steinrück,
  • Hubertus Marbach and
  • Oddur Ingólfsson

Beilstein J. Nanotechnol. 2023, 14, 1178–1199, doi:10.3762/bjnano.14.98

Graphical Abstract
PDF
Album
Supp Info
Full Research Paper
Published 06 Dec 2023

Hierarchically patterned polyurethane microgrooves featuring nanopillars or nanoholes for neurite elongation and alignment

  • Lester Uy Vinzons,
  • Guo-Chung Dong and
  • Shu-Ping Lin

Beilstein J. Nanotechnol. 2023, 14, 1157–1168, doi:10.3762/bjnano.14.96

Graphical Abstract
  • approaches are quite limited. In this work, we show that nanopillars and nanoholes, and their combination with microgrooves, can be patterned on polyurethane (PU) films using a low-cost, reusable photoresist master mold prepared via nanosphere lens lithography and UV-LED photolithography, with specific
  • fabrication. For instance, traditional techniques, such as electron-beam lithography, laser writing, and cleanroom photolithography, have flexibility in design but require costly equipment [13][14]. Relatively cheaper techniques, such as anodization, electroplating, and electrospinning, are limited by the
  • ]. Therefore, there is still a need to develop simple and cost-effective fabrication methods applicable to a wide range of nano- and micropatterns and biomaterials. In our previous studies, we have shown how nanosphere lens lithography (NLL) can be used with a low-cost ultraviolet light-emitting diode (UV-LED
PDF
Album
Supp Info
Full Research Paper
Published 29 Nov 2023

Industrial perspectives for personalized microneedles

  • Remmi Danae Baker-Sediako,
  • Benjamin Richter,
  • Matthias Blaicher,
  • Michael Thiel and
  • Martin Hermatschweiler

Beilstein J. Nanotechnol. 2023, 14, 857–864, doi:10.3762/bjnano.14.70

Graphical Abstract
  • microoptic fabrication, grayscale lithography offers a solution to mitigate the staircase effect. Grayscale lithography is a novel approach in photolithography for 2.5D patterning (x,y,z) with ultrasmooth surfaces that exhibits improved shape accuracy [57][58]. In 2019, Nanoscribe GmbH & Co launched the
  • Quantum X platform that commercialized maskless grayscale lithography for microoptics made via 2PP, a process called “Two-Photon Grayscale Lithography” (2GL®) [59][60]. 2GL® differs from traditional 2PP and 1PP lithography because the laser or exposure dosage in individual voxels is controlled in three
  • on a “Quantum X shape” lithography system (Nanoscribe GmbH & Co, Karlsruhe, Germany) following printing and post-printing protocols from NanoGuide. Post-printing protocols begin by removing unpolymerized material via two-step washing with either propylene glycol methyl ether acetate or mr-Dev for 15
PDF
Album
Perspective
Published 15 Aug 2023

Silver-based SERS substrates fabricated using a 3D printed microfluidic device

  • Phommachith Sonexai,
  • Minh Van Nguyen,
  • Bui The Huy and
  • Yong-Ill Lee

Beilstein J. Nanotechnol. 2023, 14, 793–803, doi:10.3762/bjnano.14.65

Graphical Abstract
  • separate microreactor [12][22]. A traditional approach to producing microfluidic devices involves a three-step microfabrication process of (i) creating a channel mold using photolithography, (ii) fabricating the channels by casting the mold through soft lithography, and (iii) bonding the channel device to
PDF
Album
Supp Info
Full Research Paper
Published 21 Jul 2023

Observation of multiple bulk bound states in the continuum modes in a photonic crystal cavity

  • Rui Chen,
  • Yi Zheng,
  • Xingyu Huang,
  • Qiaoling Lin,
  • Chaochao Ye,
  • Meng Xiong,
  • Martijn Wubs,
  • Yungui Ma,
  • Minhao Pu and
  • Sanshui Xiao

Beilstein J. Nanotechnol. 2023, 14, 544–551, doi:10.3762/bjnano.14.45

Graphical Abstract
  • To verify the multiple modes in the bandgap mirror-assisted BIC cavity, several samples with different sidelengths (w) were fabricated by electron beam lithography (EBL) and inductively coupled plasma (ICP) etching on 500 nm thick AlGaAs on a sapphire wafer. The parameter sweep of w around 400 nm was
PDF
Album
Full Research Paper
Published 27 Apr 2023

A mid-infrared focusing grating coupler with a single circular arc element based on germanium on silicon

  • Xiaojun Zhu,
  • Shuai Li,
  • Ang Sun,
  • Yongquan Pan,
  • Wen Liu,
  • Yue Wu,
  • Guoan Zhang and
  • Yuechun Shi

Beilstein J. Nanotechnol. 2023, 14, 478–484, doi:10.3762/bjnano.14.38

Graphical Abstract
  • than that of a MIR grating coupler with conventional tapered linear gratings (5.49%). Because the proposed structure is geometrically simple, it is easy to implement experimentally. The proposed MIR FGC based on Ge-on-Si can be fabricated by electron beam lithography (EBL) and inductively coupled
PDF
Album
Full Research Paper
Published 06 Apr 2023

Characterisation of a micrometer-scale active plasmonic element by means of complementary computational and experimental methods

  • Ciarán Barron,
  • Giulia Di Fazio,
  • Samuel Kenny,
  • Silas O’Toole,
  • Robin O’Reilly and
  • Dominic Zerulla

Beilstein J. Nanotechnol. 2023, 14, 110–122, doi:10.3762/bjnano.14.12

Graphical Abstract
  • nanomechanical operations such as lithography and machining. The high spring constant of this cantilever has the advantage of minimising the unwanted deflection of the cantilever resulting from electrostatic interaction of the potential on the surface and the probe. The tip is constructed from wear-resistant
PDF
Album
Full Research Paper
Published 16 Jan 2023

Upper critical magnetic field in NbRe and NbReN micrometric strips

  • Zahra Makhdoumi Kakhaki,
  • Antonio Leo,
  • Federico Chianese,
  • Loredana Parlato,
  • Giovanni Piero Pepe,
  • Angela Nigro,
  • Carla Cirillo and
  • Carmine Attanasio

Beilstein J. Nanotechnol. 2023, 14, 45–51, doi:10.3762/bjnano.14.5

Graphical Abstract
  • , were reactively sputtered in a mixture of inert Ar (85%) and reactive N2 (15%) gas at a total pressure of 3.5 μbar at a rate of 0.36 nm/s. NbRe films were patterned by standard optical lithography and lift-off procedures to realize a Hall bar geometry of width w = 10 μm and a distance between the
PDF
Album
Full Research Paper
Published 05 Jan 2023

Gap-directed chemical lift-off lithographic nanoarchitectonics for arbitrary sub-micrometer patterning

  • Chang-Ming Wang,
  • Hong-Sheng Chan,
  • Chia-Li Liao,
  • Che-Wei Chang and
  • Wei-Ssu Liao

Beilstein J. Nanotechnol. 2023, 14, 34–44, doi:10.3762/bjnano.14.4

Graphical Abstract
  • alkanethiol self-assembled monolayer (SAM) on Au to generate surface patterns that are orders of magnitude smaller than structures on the original elastomer stamp. The smallest achieved feature dimension is 5 nm using a micrometer-scale structured stamp in a chemical lift-off lithography (CLL) process
  • -off lithography; gap; self-assembled monolayer; sub-micrometer; surface patterning; Introduction The development of lithographic techniques is crucial to the advancement of the electronics and semiconductor industry, the backbones of modern technology. Advances in photolithography have pushed the
  • limit of commercially available techniques down to the 10 nm scale in the form of extreme ultraviolet lithography [1][2], though equipment cost and energy consumption substantially increase with smaller desired feature dimension. On the contrary, direct-write methods like electron beam lithography can
PDF
Album
Full Research Paper
Published 04 Jan 2023

Coherent amplification of radiation from two phase-locked Josephson junction arrays

  • Mikhail A. Galin,
  • Vladimir M. Krasnov,
  • Ilya A. Shereshevsky,
  • Nadezhda K. Vdovicheva and
  • Vladislav V. Kurin

Beilstein J. Nanotechnol. 2022, 13, 1445–1457, doi:10.3762/bjnano.13.119

Graphical Abstract
  • self-aligning process using e-beam lithography and reactive ion etching [16][17]. Similar arrays were studied earlier in [9][12][13], where additional information about sample characterization can be found. Figure 1a,b shows the layout of “sample-1”. It has been fabricated on a 1 × 1 cm2 silicon
PDF
Album
Full Research Paper
Published 06 Dec 2022

Double-layer symmetric gratings with bound states in the continuum for dual-band high-Q optical sensing

  • Chaoying Shi,
  • Jinhua Hu,
  • Xiuhong Liu,
  • Junfang Liang,
  • Jijun Zhao,
  • Haiyan Han and
  • Qiaofen Zhu

Beilstein J. Nanotechnol. 2022, 13, 1408–1417, doi:10.3762/bjnano.13.116

Graphical Abstract
  • structure can be fabricated as follows [56]. At first, the gratings of the bottom layer are fabricated using electron beam lithography (EBL) and reactive ion etching (RIE) on a SOI chip with a single crystalline silicon device layer and a buried oxide (BOX), where this SOI chip serves as the receiving
PDF
Album
Full Research Paper
Published 25 Nov 2022

Bending and punching characteristics of aluminum sheets using the quasi-continuum method

  • Man-Ping Chang,
  • Shang-Jui Lin and
  • Te-Hua Fang

Beilstein J. Nanotechnol. 2022, 13, 1303–1315, doi:10.3762/bjnano.13.108

Graphical Abstract
  • , nanoelectromechanical systems (NEMS), environmental science, and semiconductors [1][2][3][4][5][6][7][8][9][10]. The increased requirements for advanced nanostructures simultaneously give rise to extensive researches in precision machining techniques, including nanoimprinting lithography (NIL) [11][12], mechanical nano
PDF
Album
Full Research Paper
Published 10 Nov 2022

Enhanced electronic transport properties of Te roll-like nanostructures

  • E. R. Viana,
  • N. Cifuentes and
  • J. C. González

Beilstein J. Nanotechnol. 2022, 13, 1284–1291, doi:10.3762/bjnano.13.106

Graphical Abstract
  • writing optical lithography on 1 × 1 cm2 degenerate Si(100) substrates covered by a 300 nm thick high-quality SiO2 layer. A Cr(10 nm)/Au(100 nm) bilayer was thermally evaporated on the sample to produce good ohmic contacts (see Supporting Information File 1). This procedure follows the methodology
PDF
Album
Supp Info
Full Research Paper
Published 08 Nov 2022

Roll-to-roll fabrication of superhydrophobic pads covered with nanofur for the efficient clean-up of oil spills

  • Patrick Weiser,
  • Robin Kietz,
  • Marc Schneider,
  • Matthias Worgull and
  • Hendrik Hölscher

Beilstein J. Nanotechnol. 2022, 13, 1228–1239, doi:10.3762/bjnano.13.102

Graphical Abstract
  • , too [7]. Multiple techniques exist to prepare self-cleaning surfaces. Direct laser writing and electron beam lithography have been employed successfully to create superhydrophobic surfaces. However, due to low writing speeds these approaches are not viable for surface areas larger than a few square
  • millimeters [8][9]. Various (soft) lithography techniques have been employed to create superhydrophobic surfaces; however, these generally rely on copying surface information from a master (e.g., a lotus leaf) [9][10] and are therefore often limited in size. Superhydrophobic surfaces could also be prepared
  • sandblasted steel-plates as mold inserts. It is an interesting feature of this procedure that a surface with nanostructures is realized without elaborate and costly lithography. Nanofur can be hot-pulled from several types of polymers [16]. Nonetheless, the surface area per process step is limited to some
PDF
Album
Supp Info
Full Research Paper
Published 31 Oct 2022

A super-oscillatory step-zoom metalens for visible light

  • Yi Zhou,
  • Chao Yan,
  • Peng Tian,
  • Zhu Li,
  • Yu He,
  • Bin Fan,
  • Zhiyong Wang,
  • Yao Deng and
  • Dongliang Tang

Beilstein J. Nanotechnol. 2022, 13, 1220–1227, doi:10.3762/bjnano.13.101

Graphical Abstract
  • of 0.5 λ/NA, where λ is the wavelength and NA is the numerical aperture [1]. Super-resolution optical imaging is of significant scientific and application value, which may lead to a revolution in various fields, such as optical microscopy, optical remote sensing, subwavelength lithography, and ultra
PDF
Album
Full Research Paper
Published 28 Oct 2022

Effects of focused electron beam irradiation parameters on direct nanostructure formation on Ag surfaces

  • Jānis Sniķeris,
  • Vjačeslavs Gerbreders,
  • Andrejs Bulanovs and
  • Ēriks Sļedevskis

Beilstein J. Nanotechnol. 2022, 13, 1004–1010, doi:10.3762/bjnano.13.87

Graphical Abstract
  • surfaces undergoing irradiation by a focused electron beam. Keywords: atomic force microscopy; electron beam; lithography; nanostructure; silver; sputtering; surface; Introduction Metallic nanostructures have various uses, including in nano-electro-mechanical systems [1], plasmonic biosensors [2], and
  • nanophotonics [3]. They can also serve as catalysts for controlled chemical vapour deposition [4]. While gold is the most widely used material for fabrication of plasmonic nanostructures, silver can offer a less expensive alternative [5][6][7]. Electron beam (EB) lithography is a popular method for the
  • nanopatterning of metal surfaces, but it is a complicated and expensive multistep process [8]. Electron beam induced deposition (EBID) is a direct-write lithography technique, which is capable of creating 2D and free-standing 3D nanostructures by using electron irradiation to dissociate volatile precursor
PDF
Album
Full Research Paper
Published 22 Sep 2022

Optimizing PMMA solutions to suppress contamination in the transfer of CVD graphene for batch production

  • Chun-Da Liao,
  • Andrea Capasso,
  • Tiago Queirós,
  • Telma Domingues,
  • Fatima Cerqueira,
  • Nicoleta Nicoara,
  • Jérôme Borme,
  • Paulo Freitas and
  • Pedro Alpuim

Beilstein J. Nanotechnol. 2022, 13, 796–806, doi:10.3762/bjnano.13.70

Graphical Abstract
  • : C4 PMMA; Wafer 2: B2 PMMA). Both wafers started with the patterning of Cr/Au contacts (deposited by magnetron sputtering) using direct-write laser lithography and ion milling. The fabrication of the two wafers followed slightly different steps, as described below. Wafer 1: A stopping layer (Al2O3
  • films were then transferred onto the patterned wafer until all device areas were covered. After removing the PMMA, graphene was patterned using optical lithography and oxygen plasma etching. Finally, the sacrificial layer was removed by wet etching. Wafer 2: An additional layer of Al2O3 was deposited on
  • to the surface of the chips. A stopping layer (Cu/AlSiCu/TiW) for the reactive ion etching (RIE) process was sputtered, and the SiO2/SiNx multistack passivation layer was deposited by CVD. The passivation layer was patterned by lithography and etched by RIE until revealing the stopping layer on the
PDF
Album
Supp Info
Full Research Paper
Published 18 Aug 2022
Other Beilstein-Institut Open Science Activities