Search results

Search for "ALD" in Full Text gives 67 result(s) in Beilstein Journal of Nanotechnology.

UHV deposition and characterization of a mononuclear iron(III) β-diketonate complex on Au(111)

  • Irene Cimatti,
  • Silviya Ninova,
  • Valeria Lanzilotto,
  • Luigi Malavolti,
  • Luca Rigamonti,
  • Brunetto Cortigiani,
  • Matteo Mannini,
  • Elena Magnano,
  • Federica Bondino,
  • Federico Totti,
  • Andrea Cornia and
  • Roberta Sessoli

Beilstein J. Nanotechnol. 2014, 5, 2139–2148, doi:10.3762/bjnano.5.223

Graphical Abstract
  • in relation with their use as metallic precursors in coating technology, such as chemical vapour deposition (CVD) and atomic layer deposition (ALD) [21][22]. For instance, the reactivity of CuII(hfac)2, hfac− = hexafluoroacetylacetonate, was found to critically depend on the nature of the molecule
PDF
Album
Supp Info
Full Research Paper
Published 18 Nov 2014

Direct nanoscale observations of the coupled dissolution of calcite and dolomite and the precipitation of gypsum

  • Francesco G. Offeddu,
  • Jordi Cama,
  • Josep M. Soler and
  • Christine V. Putnis

Beilstein J. Nanotechnol. 2014, 5, 1245–1253, doi:10.3762/bjnano.5.138

Graphical Abstract
  • ][3][4][5]. The purpose is to retain metals and neutralize acidity by means of the so-called anoxic limestone drain (ALD) [1][2][3][4][5]. AMD, flowing through benches filled with calcite gravel, dissolves limestone and thereby increases the Ca2+ concentration, alkalinity and pH. Because, in general
PDF
Album
Full Research Paper
Published 11 Aug 2014

Organic and inorganic–organic thin film structures by molecular layer deposition: A review

  • Pia Sundberg and
  • Maarit Karppinen

Beilstein J. Nanotechnol. 2014, 5, 1104–1136, doi:10.3762/bjnano.5.123

Graphical Abstract
  • inorganic thin films, i.e., atomic layer deposition (ALD), is currently experiencing a strongly growing interest. Like ALD in case of the inorganics, the emerging molecular layer deposition (MLD) technique for organic constituents can be employed to fabricate high-quality thin films and coatings with
  • thickness and composition control on the molecular scale, even on complex three-dimensional structures. Moreover, by combining the two techniques, ALD and MLD, fundamentally new types of inorganic–organic hybrid materials can be produced. In this review article, we first describe the basic concepts
  • regarding the MLD and ALD/MLD processes, followed by a comprehensive review of the various precursors and precursor pairs so far employed in these processes. Finally, we discuss the first proof-of-concept experiments in which the newly developed MLD and ALD/MLD processes are exploited to fabricate novel
PDF
Album
Review
Published 22 Jul 2014

Growth and characterization of CNT–TiO2 heterostructures

  • Yucheng Zhang,
  • Ivo Utke,
  • Johann Michler,
  • Gabriele Ilari,
  • Marta D. Rossell and
  • Rolf Erni

Beilstein J. Nanotechnol. 2014, 5, 946–955, doi:10.3762/bjnano.5.108

Graphical Abstract
  • the topic of synthesis and characterization of the CNT–TiO2 interface. In particular, atomic layer deposition (ALD) offers a good control of the size, crystallinity and morphology of TiO2 on CNTs. Analytical transmission electron microscopy (TEM) techniques such as electron energy loss spectroscopy
  • /metal oxide material systems. Keywords: atomic layer deposition (ALD); carbon nanotubes; electron energy loss spectroscopy (EELS); interface; titanium dioxide (TiO2); transmission electron microscopy (TEM); Introduction Since the discovery by Iijima in 1991, carbon nanotubes (CNTs) have always been on
  • layer deposition (ALD) has recently been used to deposit TiO2 nanoparticles on CNTs in a controllable fashion. Characterization of the interface will help to understand the mechanisms, and requires techniques capable of revealing structural details on a nanometer and atomic scale, for which electron
PDF
Album
Review
Published 02 Jul 2014

Atomic layer deposition, a unique method for the preparation of energy conversion devices

  • Julien Bachmann

Beilstein J. Nanotechnol. 2014, 5, 245–248, doi:10.3762/bjnano.5.26

Graphical Abstract
  • frameworks, is conferred with a direct relevance towards energy conversion applications. The conformal coating of non-planar samples is a property that uniquely defines atomic layer deposition (ALD) [3][4][5][6][7], which is why ALD is inherently suited to the preparation of energy conversion devices. ALD
  • which can be performed by ALD materials may be rationalized if a few common themes are recognized, which run like a common thread through this Thematic Series: ALD for a direct device function, such as light absorption in solar cells, ion conduction and electrocatalysis in fuel cells, or lithium uptake
  • in batteries; ALD for separation and protection, in particular to prevent erosion or corrosion in electrochemical devices; ALD for interface engineering, for example defect passivation in solar cells or prevention of charge recombination by tunnel barriers, and for influencing the electronic
PDF
Album
Editorial
Published 05 Mar 2014

Modeling and optimization of atomic layer deposition processes on vertically aligned carbon nanotubes

  • Nuri Yazdani,
  • Vipin Chawla,
  • Eve Edwards,
  • Vanessa Wood,
  • Hyung Gyu Park and
  • Ivo Utke

Beilstein J. Nanotechnol. 2014, 5, 234–244, doi:10.3762/bjnano.5.25

Graphical Abstract
  • aligned carbon nanotube (VACNT) arrays have emerged as possible scaffolds to support large surface area ceramic layers. However, obtaining conformal and uniform coatings of ceramics on structures with high aspect ratio morphologies is non-trivial, even with atomic layer deposition (ALD). Here we implement
  • a diffusion model to investigate the effect of the ALD parameters on coating kinetics and use it to develop a guideline for achieving conformal and uniform thickness coatings throughout the depth of ultra-high aspect ratio structures. We validate the model predictions with experimental data from ALD
  • ceramic coating of the CNTs. Atomic layer deposition (ALD) is a highly attractive option for coating CNTs because it enables a wide range of ceramics and metals to be deposited conformally on arbitrary surface topologies with precise control of layer thickness [1][18]. However, vertically aligned CNT
PDF
Album
Full Research Paper
Published 05 Mar 2014

Photovoltaic properties of ZnO nanorods/p-type Si heterojunction structures

  • Rafal Pietruszka,
  • Bartlomiej S. Witkowski,
  • Grzegorz Luka,
  • Lukasz Wachnicki,
  • Sylwia Gieraltowska,
  • Krzysztof Kopalko,
  • Eunika Zielony,
  • Piotr Bieganski,
  • Ewa Placzek-Popko and
  • Marek Godlewski

Beilstein J. Nanotechnol. 2014, 5, 173–179, doi:10.3762/bjnano.5.17

Graphical Abstract
  • on zinc oxide nanorods grown by a hydrothermal method on top of p-type Si, covered on top with ZnO:Al films grown by atomic layer deposition (ALD) and acting as a transparent electrode. These simple and low costs solar cells show a power conversion efficiency, which we consider satisfactory
  • , in the ALD process with 15 cycles, ZnO nanoseeds were deposited on a Si substrate (Figure 1a). The deposited ZnO nano-islands nucleate growth of ZnO nanorods in a hydrothermal process, performed in a Ertec01-03 Magnum reactor [36][37][38][39]. The growth of the ZnO nanorods was performed at
  • ) were grown on ZnO nanorods (ZnONR)/Si structures by using the ALD process in the Savannah-100 reactor Cambridge NanoTech (Figure 1b) [40][41]. We used diethylzinc (DEZ) and deionized water as zinc and oxygen precursors, respectively. For doping zinc oxide layers trimethylaluminum (TMA) was used as an
PDF
Album
Full Research Paper
Published 14 Feb 2014

3D-nanoarchitectured Pd/Ni catalysts prepared by atomic layer deposition for the electrooxidation of formic acid

  • Loïc Assaud,
  • Evans Monyoncho,
  • Kristina Pitzschel,
  • Anis Allagui,
  • Matthieu Petit,
  • Margrit Hanbücken,
  • Elena A. Baranova and
  • Lionel Santinacci

Beilstein J. Nanotechnol. 2014, 5, 162–172, doi:10.3762/bjnano.5.16

Graphical Abstract
  • , University of Ottawa, 161 Louis-Pasteur St., Ottawa, ON, K1N 6N5, Canada 10.3762/bjnano.5.16 Abstract Three-dimensionally (3D) nanoarchitectured palladium/nickel (Pd/Ni) catalysts, which were prepared by atomic layer deposition (ALD) on high-aspect-ratio nanoporous alumina templates are investigated with
  • intermediates. High catalytic activities are measured for low masses of Pd coatings that were generated by a low number of ALD cycles, probably because of the cluster size effect, electronic interactions between Pd and Ni, or diffusion effects. Keywords: anodic aluminum oxide; atomic layer deposition (ALD
  • nanostructures are highly correlated with the technique of fabrication. Among the numerous methods that have been recently explored, the use of atomic layer deposition (ALD) to fabricate and/or functionalize nanostructures appears to be very promising. Catalysts grown by ALD often demonstrated similar or
PDF
Album
Supp Info
Full Research Paper
Published 12 Feb 2014

Quantum size effects in TiO2 thin films grown by atomic layer deposition

  • Massimo Tallarida,
  • Chittaranjan Das and
  • Dieter Schmeisser

Beilstein J. Nanotechnol. 2014, 5, 77–82, doi:10.3762/bjnano.5.7

Graphical Abstract
  • influenced by quantum size effects. The modified electronic properties may play an important role in charge carrier transport and separation, and increase the efficiency of energy conversion systems. Keywords: atomic layer deposition (ALD); charge transfer multiplet; covalency; energy conversion; quantum
  • by the band gap being too large, has been demonstrated in many systems [3]. Atomic layer deposition (ALD) is a chemical method to grow homogeneous thin films in an atomically controlled mode, which allows for the conformal coating of complex structures with precise thickness and a high degree of
  • purity [4]. The growth of TiO2 by ALD is a well-studied process and has been recently reviewed [5]. Charge carrier transport and separation, which strongly depend on interface and surface properties [6][7], are among the most important aspects of energy conversion processes. Therefore the further
PDF
Album
Full Research Paper
Published 22 Jan 2014

Synthesis of indium oxi-sulfide films by atomic layer deposition: The essential role of plasma enhancement

  • Cathy Bugot,
  • Nathanaëlle Schneider,
  • Daniel Lincot and
  • Frédérique Donsanti

Beilstein J. Nanotechnol. 2013, 4, 750–757, doi:10.3762/bjnano.4.85

Graphical Abstract
  • Cd-free buffer layers are based on zinc and indium-compounds, with current record efficiencies obtained by chemical bath deposition (CBD, 19.7% and 19.1% for Zn(S,O,OH) [4][5], 15.7% for In(S,O,OH) [6]) or atomic layer deposition (ALD, 18.5% for Zn(O,S) [7], 18.1% for (Zn,Mg)O [8], 16.4% for In2S3 [9
  • ], and 18.2% for (Zn,Sn)O [10]). Recently, our group has synthesized new mixed films of ZnS/In2S3 by using ALD and applied them as buffer layers in CIGS solar cells [11][12]. ALD is based on sequential self-saturated reactions that allows the conformal and uniform growth of thin films with a high control
  • of their properties [13][14][15]. It is therefore a suitable technique for the deposition of buffer layers. Platzer-Björkman et al. have used ALD to improve the energy-band alignment between the CIGS and the front electrode by controlling the oxygen concentration in Zn(S,O) buffer layers [4][16
PDF
Album
Full Research Paper
Published 13 Nov 2013

Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films

  • Jörg Haeberle,
  • Karsten Henkel,
  • Hassan Gargouri,
  • Franziska Naumann,
  • Bernd Gruska,
  • Michael Arens,
  • Massimo Tallarida and
  • Dieter Schmeißer

Beilstein J. Nanotechnol. 2013, 4, 732–742, doi:10.3762/bjnano.4.83

Graphical Abstract
  • , Germany 10.3762/bjnano.4.83 Abstract We report on results on the preparation of thin (<100 nm) aluminum oxide (Al2O3) films on silicon substrates using thermal atomic layer deposition (T-ALD) and plasma enhanced atomic layer deposition (PE-ALD) in the SENTECH SI ALD LL system. The T-ALD Al2O3 layers were
  • deposited at 200 °C, for the PE-ALD films we varied the substrate temperature range between room temperature (rt) and 200 °C. We show data from spectroscopic ellipsometry (thickness, refractive index, growth rate) over 4” wafers and correlate them to X-ray photoelectron spectroscopy (XPS) results. The 200
  • °C T-ALD and PE-ALD processes yield films with similar refractive indices and with oxygen to aluminum elemental ratios very close to the stoichiometric value of 1.5. However, in both also fragments of the precursor are integrated into the film. The PE-ALD films show an increased growth rate and lower
PDF
Album
Full Research Paper
Published 08 Nov 2013

Surface passivation and optical characterization of Al2O3/a-SiCx stacks on c-Si substrates

  • Gema López,
  • Pablo R. Ortega,
  • Cristóbal Voz,
  • Isidro Martín,
  • Mónica Colina,
  • Anna B. Morales,
  • Albert Orpella and
  • Ramón Alcubilla

Beilstein J. Nanotechnol. 2013, 4, 726–731, doi:10.3762/bjnano.4.82

Graphical Abstract
  • (ALD) at 200 °C and were complemented with a layer of a-SiCx deposited by plasma-enhanced chemical vapor deposition (PECVD) to form anti-reflection coating (ARC) stacks with a total thickness of 75 nm. A comparative study has been carried out on polished and randomly textured wafers. We have
  • ) grown by atomic layer deposition (ALD) is a good alternative for passivating both lightly and highly doped n- and also p-type c-Si substrates [2][3][4]. The excellent passivation quality is due to a double effect: (i) chemical passivation that involves a low density of interface defects, Dit (≈1011 eV
  • previous works we demonstrated that an a-SiCx capping layer on the Al2O3 improves the laser contact formation on p-type c-Si solar cells in comparison to the typical laser fired contact (LFC) process [14][15]. Moreover, it is well known that the ALD deposition of Al2O3 has very low deposition rates
PDF
Album
Full Research Paper
Published 06 Nov 2013

Evolution of microstructure and related optical properties of ZnO grown by atomic layer deposition

  • Adib Abou Chaaya,
  • Roman Viter,
  • Mikhael Bechelany,
  • Zanda Alute,
  • Donats Erts,
  • Anastasiya Zalesskaya,
  • Kristaps Kovalevskis,
  • Vincent Rouessac,
  • Valentyn Smyntyna and
  • Philippe Miele

Beilstein J. Nanotechnol. 2013, 4, 690–698, doi:10.3762/bjnano.4.78

Graphical Abstract
  • photoluminescence emissions correspond to transitions near the band-edge and defect-related transitions. Additional emissions were observed from band-tail states near the edge. A high oxygen ratio and variable optical properties could be attractive for an application of atomic layer deposition (ALD) deposited
  • different deposition techniques such as sol–gel [18], chemical vapor deposition [19], electro-deposition [5][6][7], RF sputtering, and atomic layer deposition (ALD) [16][17]. It is well known that the optoelectronic properties of zinc oxide thin film [20][21] are strongly dependent on the structure [11][22
  • range and a broad emission band in the range of 480–620 nm [23][24][25]. The UV emission band in ZnO has been related to exciton emission, whereas the vis emission has been related to radiative transitions involving intrinsic point defects (O/Zn vacancies and O/Zn interstitials) [23][24][25]. ALD is an
PDF
Album
Supp Info
Full Research Paper
Published 28 Oct 2013

Preparation of electrochemically active silicon nanotubes in highly ordered arrays

  • Tobias Grünzel,
  • Young Joo Lee,
  • Karsten Kuepper and
  • Julien Bachmann

Beilstein J. Nanotechnol. 2013, 4, 655–664, doi:10.3762/bjnano.4.73

Graphical Abstract
  • deposited into the pores conformally by atomic layer deposition (ALD). This method based on well-defined, self-limiting surface reactions carried out in a cyclic manner enables one to create films of accurately tunable thickness d on the surfaces of such porous substrates [12][13][14][15], Because silicon
  • is one of the very few simple inorganic solids for which no practical ALD reaction scheme is available [16], we will deposit SiO2 instead [17][18], and then reduce it to elemental silicon. This paper reports on the reduction reaction that we developed based on lithium vapor, which exhibits the
  • coated by ALD (c) by using 3-aminopropyltriethoxysilane, water, and ozone at 150 °C [17][18]. The underlying metallic aluminum substrate is removed oxidatively (d), and the the so-called barrier layer of oxide closing the pore extremities is opened in warm phosphoric acid (e), which leaves a free
PDF
Album
Supp Info
Full Research Paper
Published 16 Oct 2013

Near-field effects and energy transfer in hybrid metal-oxide nanostructures

  • Ulrich Herr,
  • Balati Kuerbanjiang,
  • Cahit Benel,
  • Giorgos Papageorgiou,
  • Manuel Goncalves,
  • Johannes Boneberg,
  • Paul Leiderer,
  • Paul Ziemann,
  • Peter Marek and
  • Horst Hahn

Beilstein J. Nanotechnol. 2013, 4, 306–317, doi:10.3762/bjnano.4.34

Graphical Abstract
  • structure of the TiO2:Eu core due to the perfect lattice matching possible in the homoepitaxial case. In order to investigate the possibility for generation of such coatings, TiO2:Eu nanoparticles were subjected to a post-processing step in an atomic layer deposition (ALD) chamber supplied with trimethyl
  • aluminium (TMA) and TTIP sources. In both cases, combination with water vapor allows to grow oxides of the respective metal in a layer by layer mode, and achieve a conformal coating of well-defined thickness in this way. The advantage of the ALD process is that this conformal coating can be achieved without
  • using organic surfactants. Results of the ALD post-processing of TiO2:Eu nanoparticles are shown in Figure 7 and Figure 8. Figure 7 shows a STEM image of TiO2:Eu nanoparticles coated with 3 nm of Al2O3. In addition, a thin layer of TiO2 was added at the end of the process in order to test the
PDF
Album
Full Research Paper
Published 14 May 2013

Functionalization of vertically aligned carbon nanotubes

  • Eloise Van Hooijdonk,
  • Carla Bittencourt,
  • Rony Snyders and
  • Jean-François Colomer

Beilstein J. Nanotechnol. 2013, 4, 129–152, doi:10.3762/bjnano.4.14

Graphical Abstract
  • layer deposition (ALD). First the VA-CNTs were modified by chemical functionalization with a trimethylaluminium (TMA) monolayer or ex-situ Ar, O2 or Ar/O2 RF-plasma functionalization. Then, platinum was deposited by ALD. The gas-phase functionalization route was preferred in order to control the
PDF
Album
Review
Published 22 Feb 2013

Self-assembled monolayers and titanium dioxide: From surface patterning to potential applications

  • Yaron Paz

Beilstein J. Nanotechnol. 2011, 2, 845–861, doi:10.3762/bjnano.2.94

Graphical Abstract
  • chains in the LB film plays a role in improving the capturing of the spheres. Atomic-layer deposition (ALD) is a gas–phase thin-film deposition method employing self-terminating surface reactions, leading to a linear correlation between the thickness of the layer and the number of deposition cycles
  • . Mixed SAMs with different ratios of –OH- and –CH3-terminated groups were used to control the surface energy and, as a result, to affect the growth of TiO2 by ALD from titanium isopropoxide and water [47]. Here, two-dimensional growth was observed on SAM-coated substrates with high surface energy
  • of sulfonic acid terminated SAMs facilitated the growth of patterned TiO2 from a solution containing titanium sulfate and hydrogen peroxide [34]. Another example is the transfer of OTS SAM onto silica followed by selective ALD growth of titanium dioxide on the noncoated areas [79]. Likewise, a
PDF
Album
Review
Published 20 Dec 2011
Other Beilstein-Institut Open Science Activities