Search results

Search for "photoresist" in Full Text gives 61 result(s) in Beilstein Journal of Nanotechnology.

The nanofluidic confinement apparatus: studying confinement-dependent nanoparticle behavior and diffusion

  • Stefan Fringes,
  • Felix Holzner and
  • Armin W. Knoll

Beilstein J. Nanotechnol. 2018, 9, 301–310, doi:10.3762/bjnano.9.30

Graphical Abstract
  • stability for several hours. Cover glass and sample preparation The mesa of the cover glass (D263T borosilicate, UQG) was fabricated as follows: First, a masking layer of 30 nm Cr and 300 nm Au was sputtered onto the glass. Second, a photoresist (AZ4533, MicroChemicals) was spin coated and patterned by
PDF
Album
Full Research Paper
Published 26 Jan 2018

Refractive index sensing and surface-enhanced Raman spectroscopy using silver–gold layered bimetallic plasmonic crystals

  • Somi Kang,
  • Sean E. Lehman,
  • Matthew V. Schulmerich,
  • An-Phong Le,
  • Tae-woo Lee,
  • Stephen K. Gray,
  • Rohit Bhargava and
  • Ralph G. Nuzzo

Beilstein J. Nanotechnol. 2017, 8, 2492–2503, doi:10.3762/bjnano.8.249

Graphical Abstract
  • nanoimprint lithography The PCs were fabricated using soft nanoimprint lithography as previously reported [22][23][24][25][26][37][38]. In brief, a composite hard-PDMS/soft-PDMS mixture was cast on a patterned photoresist master with arrays of nanohole relief structures in order to fabricate the PCs. A glass
PDF
Album
Supp Info
Full Research Paper
Published 24 Nov 2017

Synthesis of coaxial nanotubes of polyaniline and poly(hydroxyethyl methacrylate) by oxidative/initiated chemical vapor deposition

  • Alper Balkan,
  • Efe Armagan and
  • Gozde Ozaydin Ince

Beilstein J. Nanotechnol. 2017, 8, 872–882, doi:10.3762/bjnano.8.89

Graphical Abstract
  • -beam evaporation, conventional lithography with a shadow mask was used to create a pattern for the electrodes. The photoresist AZ 5214 E (Merck GmbH), the developer AZ 726 (MIF) (Merck GmbH) and deionized water (stopper) were used for the lithography. After the e-beam evaporation, samples were annealed
PDF
Album
Full Research Paper
Published 18 Apr 2017

Relationships between chemical structure, mechanical properties and materials processing in nanopatterned organosilicate fins

  • Gheorghe Stan,
  • Richard S. Gates,
  • Qichi Hu,
  • Kevin Kjoller,
  • Craig Prater,
  • Kanwal Jit Singh,
  • Ebony Mays and
  • Sean W. King

Beilstein J. Nanotechnol. 2017, 8, 863–871, doi:10.3762/bjnano.8.88

Graphical Abstract
  • /erosion rate of the photoresist and hardmask layers utilized to define and transfer the desired pattern into the nanoporous organosilicate [35]. As we will show, feature-size dependences also manifest as variations of composition and mechanical properties within the resulting nanoporous organosilicate
PDF
Album
Full Research Paper
Published 13 Apr 2017

Surface improvement of organic photoresists using a near-field-dependent etching method

  • Felix J. Brandenburg,
  • Tomohiro Okamoto,
  • Hiroshi Saito,
  • Benjamin Leuschel,
  • Olivier Soppera and
  • Takashi Yatsui

Beilstein J. Nanotechnol. 2017, 8, 784–788, doi:10.3762/bjnano.8.81

Graphical Abstract
  • , which are beyond the absorption edge of the photoresist (310 nm), we compare the resulting cross-sectional etching volumes. The volumes were larger when 325 nm light was employed, i.e., closer to the absorption edge. Although 405 nm light did not cause structural change in the photoresist, a higher
  • reduction of the surface roughness was observed as compared to the 325 nm light. These results indicate that even wavelengths above 325 nm can cause surface roughness improvements without notably changing the structure of the photoresist. Keywords: near-field etching; organic photoresists; surface
  • single-photon absorption but the former has a much lower probability [14][15]. The photoresist in this study is a conventional, organic, chemically amplified resist (CAR) (EPIC 2096 ArF Photoresist), which is sensitive to ArF excimer laser excitation (λ = 193 nm). Organic photoresists are easily obtained
PDF
Album
Full Research Paper
Published 05 Apr 2017

Silicon microgrooves for contact guidance of human aortic endothelial cells

  • Sara Fernández-Castillejo,
  • Pilar Formentín,
  • Úrsula Catalán,
  • Josep Pallarès,
  • Lluís F. Marsal and
  • Rosa Solà

Beilstein J. Nanotechnol. 2017, 8, 675–681, doi:10.3762/bjnano.8.72

Graphical Abstract
  • alkaline etch. A thin layer of positive photoresist AZ 1505 (MicroChemicals) was deposited by spin-coating on the silicon wafer at 500 rpm for 10 s then 5000 rpm for 30 s, following by baking at 100 °C for 30 s. Then the wafer was patterned by direct-write lithography (DWL 66FS, Heidelberg Instruments Gmbh
  • ). After developing the photoresist by immersing the wafer in the metal ion free developer AZ 726 (MicroChemicals) for 45 s, the lithographic pattern is transferred onto the oxide layer by etching the silicon in buffered hydrofluoric acid. The photoresist film is no longer needed and therefore removed with
PDF
Album
Full Research Paper
Published 22 Mar 2017

Anodization-based process for the fabrication of all niobium nitride Josephson junction structures

  • Massimiliano Lucci,
  • Ivano Ottaviani,
  • Matteo Cirillo,
  • Fabio De Matteis,
  • Roberto Francini,
  • Vittorio Merlo and
  • Ivan Davoli

Beilstein J. Nanotechnol. 2017, 8, 539–546, doi:10.3762/bjnano.8.58

Graphical Abstract
  • vacuum conditions. Temperature control is particularly relevant when lithographic patterns impressed by photoresist (an organic polymeric compound melting above 120 °C) are present on the substrate because the degassing of the polymer pollutes the deposition chamber. For this reason the temperature of
  • another concentration of N2. Because the high temperature induced on the substrate softens the photoresist and pollutes the NbN films. In Figure 4 the section of the 3D-plot of Figure 3 corresponding to a dc power of 200 W is shown. We can see more clearly that a N2 flux of 9 sccm gives NbN film with a Tc
  • . These techniques rely on three layers of NbN/AlN/NbN, and require, as a first step in the fabrication process the use of a photoresist-patterned substrate. This condition, in turn, limits the highest acceptable dc power to 200 W. For a reduced sputtering power, the plasma composition must be redefined
PDF
Album
Full Research Paper
Published 02 Mar 2017

Copper atomic-scale transistors

  • Fangqing Xie,
  • Maryna N. Kavalenka,
  • Moritz Röger,
  • Daniel Albrecht,
  • Hendrik Hölscher,
  • Jürgen Leuthold and
  • Thomas Schimmel

Beilstein J. Nanotechnol. 2017, 8, 530–538, doi:10.3762/bjnano.8.57

Graphical Abstract
  • thickness of 3 nm/40 nm deposited on a silicon wafer covered with a thermal oxide layer (300 nm) using e-beam evaporation. The gap between the source and the drain is 2 μm, and the distance from the gate to the source is approximately 147 μm. The electrolyte channel developed in an SU-8 photoresist film is
  • using direct laser writing and reactive ion etching techniques (Method 2 described in the Experimental section). The window with a diameter of 3 mm and height of 0.05 mm was fabricated in the SU-8 photoresist. In order to observe fabrication and operation of the copper transistor in situ, a ceramic
  • insulating layer were prepared with a direct laser writer (Heidelberg Instruments DWL 66). The microelectrodes covered with an SU-8 film on a silicon wafer with a thin thermally oxidized SiO2 film (300 nm) were fabricated step by step as follows. A photoresist (AZ 5214E) was spin-coated on the wafer. With a
PDF
Album
Full Research Paper
Published 01 Mar 2017

When the going gets rough – studying the effect of surface roughness on the adhesive abilities of tree frogs

  • Niall Crawford,
  • Thomas Endlein,
  • Jonathan T. Pham,
  • Mathis Riehle and
  • W. Jon P. Barnes

Beilstein J. Nanotechnol. 2016, 7, 2116–2131, doi:10.3762/bjnano.7.201

Graphical Abstract
  • involves laying down a layer of SU-8 photoresist, then applying a mask to remove specific areas of resistance, and then etching the exposed areas to give the desired patterns. The moulds were negatives of the PDMS patterned surfaces, which produced surfaces consisting of round dimples having fixed
PDF
Album
Supp Info
Full Research Paper
Published 30 Dec 2016

Precise in situ etch depth control of multilayered III−V semiconductor samples with reflectance anisotropy spectroscopy (RAS) equipment

  • Ann-Kathrin Kleinschmidt,
  • Lars Barzen,
  • Johannes Strassner,
  • Christoph Doering,
  • Henning Fouckhardt,
  • Wolfgang Bock,
  • Michael Wahl and
  • Michael Kopnarski

Beilstein J. Nanotechnol. 2016, 7, 1783–1793, doi:10.3762/bjnano.7.171

Graphical Abstract
  • piece of the sample of type A. The etch process was stopped right after reaching the second GaAs layer (A3) (see red line in Figure 2a). The photoresist etch mask was removed before cleaving the sample. As can been seen RAS control of dry-etching enables the RIE operator to stop the etch process at a
  • mask of photoresist has been used to define the stripes (laser ridges). Adjacent to each of the ridges the upper cladding, the active region, and the lower cladding are removed by dry-etching to achieve strong optical confinement (compare [35]). In a second lithographic process (process II, see Figure
  •  5b) and etch step the laser ridge itself is being structured to alter the effective refractive index locally and thus to achieve a film waveguide lens in part of the ridge. Therefore, again a photoresist mask is used to define the desired pattern on the laser ridge in combination with RIE. (The shape
PDF
Album
Full Research Paper
Published 21 Nov 2016

Active and fast charge-state switching of single NV centres in diamond by in-plane Al-Schottky junctions

  • Christoph Schreyvogel,
  • Vladimir Polyakov,
  • Sina Burk,
  • Helmut Fedder,
  • Andrej Denisenko,
  • Felipe Fávaro de Oliveira,
  • Ralf Wunderlich,
  • Jan Meijer,
  • Verena Zuerbig,
  • Jörg Wrachtrup and
  • Christoph E. Nebel

Beilstein J. Nanotechnol. 2016, 7, 1727–1735, doi:10.3762/bjnano.7.165

Graphical Abstract
  • defined conductive channel between the Al and Au contacts, the channel region including the contacts were protected with a photoresist and then the whole diamond surface surrounding the channel area were O-terminated via exposition to oxygen plasma. After lift-off process of the photoresist, the
PDF
Album
Letter
Published 16 Nov 2016

Nano- and microstructured materials for in vitro studies of the physiology of vascular cells

  • Alexandra M. Greiner,
  • Adria Sales,
  • Hao Chen,
  • Sarah A. Biela,
  • Dieter Kaufmann and
  • Ralf Kemkemer

Beilstein J. Nanotechnol. 2016, 7, 1620–1641, doi:10.3762/bjnano.7.155

Graphical Abstract
  • experiments in cell biology for the last two decades [53][54]. Photolithography uses light, a photomask and a photosensitive material (photoresist) to create a pattern in the micrometer (or sub-micrometer) range (Figure 4B). The thickness of the layer of photoresist will determine the height of the structures
  • advantage of optical superresolution, with which it is possible to go below the light diffraction limit, to perform photolithography with nanometer resolution. For example, using nano-antennas it was possible, by two-photon polymerization, to produce photoresist nanodots with diameters below 30 nm [64]. In
  • a different work, a plasmon was used to pattern a photoresist layer by means of NSOM (near-field scanning optical microscopy). A lateral resolution of about 50 nm was achieved, with a fabrication speed of ca 10 mm/s [65]. Nanoimprint lithography (NIL) is a low-cost nanopatterning technique for 2D
PDF
Album
Review
Published 08 Nov 2016

Dealloying of gold–copper alloy nanowires: From hillocks to ring-shaped nanopores

  • Adrien Chauvin,
  • Cyril Delacôte,
  • Mohammed Boujtita,
  • Benoit Angleraud,
  • Junjun Ding,
  • Chang-Hwan Choi,
  • Pierre-Yves Tessier and
  • Abdel-Aziz El Mel

Beilstein J. Nanotechnol. 2016, 7, 1361–1367, doi:10.3762/bjnano.7.127

Graphical Abstract
  • of metal nanowires (Figure 1a). We show how controlling accurately the growth of such defects can be of real benefit for engineering the surface of nanowires. At first, a photoresist film is deposited by spin coating on a silicon substrate and then patterned using laser interference lithography
  • (Figure 1a); the process is described in details elsewhere [21]. Then, the silicon is etched through the photoresist mask using SF6/O2 plasma to create nanograted silicon structures (Figure 1a(2)). After this step, an oxygen plasma treatment is applied to partially etch the photoresist lines and transform
  • them into residues (Figure 1a(3)). The existence of photoresist residues is related to the non-homogenous etching of the polymers forming the photoresist. In the last stage, the metal is deposited by magnetron sputtering over the prepared substrate to form an array of nanowires containing hillocks
PDF
Album
Supp Info
Full Research Paper
Published 29 Sep 2016

NO gas sensing at room temperature using single titanium oxide nanodot sensors created by atomic force microscopy nanolithography

  • Li-Yang Hong and
  • Heh-Nan Lin

Beilstein J. Nanotechnol. 2016, 7, 1044–1051, doi:10.3762/bjnano.7.97

Graphical Abstract
  • fabrication of a single titanium oxide ND gas sensor. (a) PMMA spin-coated and AFM nanomachining, (b) Ti deposition, (c) PMMA lift-off, (d) photoresist spin-coated, (e) exposure and development, (f) Au deposition, (g) photoresist lift-off, and (h) AFM nano-oxidation. (Adapted from [32]). (a,b) AFM topographic
PDF
Album
Supp Info
Full Research Paper
Published 20 Jul 2016

Dielectrophoresis of gold nanoparticles conjugated to DNA origami structures

  • Anja Henning-Knechtel,
  • Matthew Wiens,
  • Mathias Lakatos,
  • Andreas Heerwig,
  • Frieder Ostermaier,
  • Nora Haufe and
  • Michael Mertig

Beilstein J. Nanotechnol. 2016, 7, 948–956, doi:10.3762/bjnano.7.87

Graphical Abstract
  • photomask was placed and the construct exposed for 2.5 min to UV light (365 nm). For the development of the photoresist, the glass slides were incubated for 30–60 s into a developer solution (AR 500-47, Allresist; 1:2 dilution in ddH2O). An adhesion layer of 3 nm chrome and 30 nm gold was thermally
  • evaporated and finally the photoresist was removed with the remover solution AR 300-72 (Allresist) by sonication. Dielectrophoretic manipulation of the 6HBs The gold pads were cleaned by immersing them stepwise for 20 s into 100% fuming nitric acid (Merck) and 1 min into a neutralization solution [hydrogen
PDF
Album
Supp Info
Full Research Paper
Published 01 Jul 2016

Thermo-voltage measurements of atomic contacts at low temperature

  • Ayelet Ofarim,
  • Bastian Kopp,
  • Thomas Möller,
  • León Martin,
  • Johannes Boneberg,
  • Paul Leiderer and
  • Elke Scheer

Beilstein J. Nanotechnol. 2016, 7, 767–775, doi:10.3762/bjnano.7.68

Graphical Abstract
  • length. A drawback of using this (thermally and) electronically insulating substrate was the necessity to overcome the charge-caused deterioration of the electron-beam during the lithography process. To do so, substrates were covered by a thin 10 nm Al film on top of the standard photoresist (MAA/PMMA
PDF
Album
Full Research Paper
Published 30 May 2016

In situ SU-8 silver nanocomposites

  • Søren V. Fischer,
  • Basil Uthuppu and
  • Mogens H. Jakobsen

Beilstein J. Nanotechnol. 2015, 6, 1661–1665, doi:10.3762/bjnano.6.168

Graphical Abstract
  • applications. However, device fabrication of such materials always encounters the challenge of incorporation of preformed nanoparticles into photoresist materials. As a solution to this problem, an easy new method of fabricating silver nanocomposites by an in situ reduction of precursors within the epoxy-based
  • photoresist SU-8 has been developed. AgNO3 dissolved in acetonitrile and mixed with the epoxy-based photoresist SU-8 forms silver nanoparticles primarily during the pre- and post-exposure soft bake steps at 95 °C. A further high-temperature treatment at 300 °C resulted in the formation of densely
  • homogeneously distributed silver nanoparticles in the photoresist matrix. No particle growth or agglomeration of nanoparticles is observed at this point. The reported new in situ silver nanocomposite materials can be spin coated as homogeneous thin films and structured by using UV lithography. A resolution of 5
PDF
Album
Letter
Published 30 Jul 2015

Hollow plasmonic antennas for broadband SERS spectroscopy

  • Gabriele C. Messina,
  • Mario Malerba,
  • Pierfrancesco Zilio,
  • Ermanno Miele,
  • Michele Dipalo,
  • Lorenzo Ferrara and
  • Francesco De Angelis

Beilstein J. Nanotechnol. 2015, 6, 492–498, doi:10.3762/bjnano.6.50

Graphical Abstract
  • with a current of 40 pA at a dose of 5 pC/μm2. The interaction between gallium ions and the photoresist produces high secondary electrons doses, which cause the inversion of a thin layer of resist around the milled hole. When the sample is immersed in acetone, unexposed resist is dissolved while the
PDF
Album
Full Research Paper
Published 18 Feb 2015

Electrical contacts to individual SWCNTs: A review

  • Wei Liu,
  • Christofer Hierold and
  • Miroslav Haluska

Beilstein J. Nanotechnol. 2014, 5, 2202–2215, doi:10.3762/bjnano.5.229

Graphical Abstract
  • novolac-based photoresist to prevent SWCNTs from directly contacting the photoresist. However, the relatively high on-resistance (250 ± 100 kΩ) indicates the necessity for further optimization. Recently, the authors [71] have investigated an approach by using a thin layer of alumina to protect the SWCNTs
PDF
Album
Review
Published 21 Nov 2014

Advances in NO2 sensing with individual single-walled carbon nanotube transistors

  • Kiran Chikkadi,
  • Matthias Muoth,
  • Cosmin Roman,
  • Miroslav Haluska and
  • Christofer Hierold

Beilstein J. Nanotechnol. 2014, 5, 2179–2191, doi:10.3762/bjnano.5.227

Graphical Abstract
  • nanotubes, photoresist and other processing residues, interfaces with the substrate and tube-metal junctions. Their role in carrier transport and their response to analyte exposure can be diverse and difficult to reproduce. To circumvent this difficulty, empirical or phenomenological models are typically
PDF
Album
Review
Published 20 Nov 2014

Effect of channel length on the electrical response of carbon nanotube field-effect transistors to deoxyribonucleic acid hybridization

  • Hari Krishna Salila Vijayalal Mohan,
  • Jianing An,
  • Yani Zhang,
  • Chee How Wong and
  • Lianxi Zheng

Beilstein J. Nanotechnol. 2014, 5, 2081–2091, doi:10.3762/bjnano.5.217

Graphical Abstract
  • , namely: (i) short channels (L = 6 µm), (ii) long channels (L = 300 µm), and (iii) ultra-long channels (L = 1500 µm). To obtain the short channel FETs, source–drain electrodes were patterned onto the substrates containing SWCNTs using standard photolithography (AZ7220, positive photoresist) at 25 ºC
  • substrates containing the CVD-grown SWCNTs. The 1 µm thick SiO2 acted as the dielectric gate. Selective photoresist capping using photolithography was applied to cover the CNT–metal junction which resulted in devices with only the channel exposed. Only devices with a single CNT as a channel were considered
PDF
Album
Supp Info
Full Research Paper
Published 12 Nov 2014

Growth and structural discrimination of cortical neurons on randomly oriented and vertically aligned dense carbon nanotube networks

  • Christoph Nick,
  • Sandeep Yadav,
  • Ravi Joshi,
  • Christiane Thielemann and
  • Jörg J. Schneider

Beilstein J. Nanotechnol. 2014, 5, 1575–1579, doi:10.3762/bjnano.5.169

Graphical Abstract
  • guidance systems for cells. General scheme for the fabrication of spatially deposited CNT islands. (a) A photoresist is lithographically structured; b) defined aluminium and iron layers were evaporated onto the substrate. After removing the photoresist the catalyst islands of bimetallic Al/Fe are spatially
PDF
Album
Supp Info
Video
Full Research Paper
Published 17 Sep 2014

Organic and inorganic–organic thin film structures by molecular layer deposition: A review

  • Pia Sundberg and
  • Maarit Karppinen

Beilstein J. Nanotechnol. 2014, 5, 1104–1136, doi:10.3762/bjnano.5.123

Graphical Abstract
  • demonstrated that when treated with acid, the backbone of the formed film reacted from the acid-labile groups. When exposed to basic solution, the polymer films were stable. These experiments proved that MLD can be utilized to fabricate photoresist materials. To make the film a photoresist material, a
PDF
Album
Review
Published 22 Jul 2014

Dry friction of microstructured polymer surfaces inspired by snake skin

  • Martina J. Baum,
  • Lars Heepe,
  • Elena Fadeeva and
  • Stanislav N. Gorb

Beilstein J. Nanotechnol. 2014, 5, 1091–1103, doi:10.3762/bjnano.5.122

Graphical Abstract
  • using e-beam greytone lithography with a negative photoresist. Afterwards nickel copies were manufactured through an electroplating process. Replication of the microstructures was performed by using a two-step moulding technique according to Gorb [35]. The surface that ought to be replicated was used as
PDF
Album
Full Research Paper
Published 21 Jul 2014

Effect of contaminations and surface preparation on the work function of single layer MoS2

  • Oliver Ochedowski,
  • Kolyo Marinov,
  • Nils Scheuschner,
  • Artur Poloczek,
  • Benedict Kleine Bussmann,
  • Janina Maultzsch and
  • Marika Schleberger

Beilstein J. Nanotechnol. 2014, 5, 291–297, doi:10.3762/bjnano.5.32

Graphical Abstract
  • (graphene supermarket, Calverton, NY, USA). The SiO2 was patterned by using an inductive coupled plasma reactive ion etching (ICP-RIE) with Cl2/N2 chemistry. The etching mask used was a standard photoresist patterned by optical lithography. The etching was performed at 35 °C using 300 W of ICP and 150 W
  • strained on the edge of the hole, it allows to experimentally compare the effect of two differently treated subtrates (SiO2 and RIE SiO2) on the same MoS2 flake. After identification of SLM areas, a Ti/Au (5 nm/15 nm) contact was patterned on the MoS2 flake by photolithography. We used the Photoresist ARP
PDF
Album
Full Research Paper
Published 13 Mar 2014
Other Beilstein-Institut Open Science Activities