Low temperature atomic layer deposition of cobalt using dicobalt hexacarbonyl-1-heptyne as precursor

  1. 1 ORCID Logo ,
  2. 2 ORCID Logo ,
  3. 1 ORCID Logo ,
  4. 3 ,
  5. 4 and
  6. 1,2
1Fraunhofer-Institute for Electronic Nano Systems ENAS, Technologie-Campus 3, 09126 Chemnitz, Germany
2Chemnitz University of Technology, Straße der Nationen 62, 09111 Chemnitz, Germany
3scia Systems GmbH, Clemens-Winkler-Str. 6c, 09116 Chemnitz, Germany
  1. Corresponding author email
Associate Editor: M. Nolan
Beilstein J. Nanotechnol. 2023, 14, 951–963. https://doi.org/10.3762/bjnano.14.78
Received 29 Jun 2023, Accepted 05 Sep 2023, Published 15 Sep 2023
Full Research Paper
cc by logo

Abstract

In this work, we present the development of an atomic layer deposition (ALD) process for metallic cobalt. The process operates at low temperatures using dicobalt hexacarbonyl-1-heptyne [Co2(CO)6HC≡CC5H11] and hydrogen plasma. For this precursor an ALD window in the temperature range between 50 and 110 °C was determined with a constant deposition rate of approximately 0.1 Å/cycle. The upper limit of the ALD window is defined by the onset of the decomposition of the precursor. In our case, decomposition occurs at temperatures of 125 °C and above, resulting in a film growth in chemical vapour deposition mode. The lower limit of the ALD window is around 35 °C, where the reduction of the precursor is incomplete. The saturation behaviour of the process was investigated. X-ray photoelectron spectroscopy measurements could show that the deposited cobalt is in the metallic state. The finally established process in ALD mode shows a homogeneous coating at the wafer level.

Introduction

The atomic layer deposition (ALD) of cobalt films is an ongoing topic of interest [1]. Cobalt thin and ultrathin films play an important role in current generations of integrated circuits [2]. Compared to copper, the metal offers a greater resistance to electromigration and lowers the tendency to undergo diffusion, giving a higher stability in environments involving both elevated temperature and high current densities [1,3]. In current technology nodes with device dimensions below 10 nm, electron scattering becomes the dominant factor in copper-based local interconnects. In consequence, other metals such as tungsten and cobalt are used to replace copper. Recent studies show a line resistance benefit of cobalt compared to tungsten [2-5]. Because of its ferromagnetism, cobalt is a frequently used metal for magnetic sensor systems. Typically, these systems require ultrathin layers within the nanometre scale [6].

The thickness and conformality criteria of future microelectronics devices require the development of cobalt metal films deposited by ALD. Because of the self-limiting growth process, ALD allows for the sub-nanometre control of layer thickness while achieving better conformal coatings than any other deposition technique. The commonly used ALD database “Atomic Limits” [7] (based on the reviews of Puurunen [8], Miikkulainen et al. [9], Knoops et al. [10], and Mackus et al. [11]) currently lists 23 different ALD processes for the deposition of metallic cobalt including twelve plasma-assisted processes. Generally, these plasma-assisted ALD processes are reported to be carried out at temperatures above 100 °C. These processes use precursors such as CoCp2, Co(EtCp)2, or CpCo(CO)2 [12-14]. The commonly used precursor dicobalt hexacarbonyl tert-butylacetylene (CCTBA) can be used to deposit metallic cobalt in the temperature range from 125 to 200 °C [15]. As an exception, Kim et al. have reported the ALD of Co with Co2(CO)8 in the temperature range of 70 to 110 °C. However, this process resulted in a significant carbon contamination [16]. Thermal ALD processes operate usually at temperatures higher than 150 °C [17-21].

Characteristic for ALD processes, the growth rate is mainly independent of the substrate temperature in a specific temperature range, often denominated as ALD window. Within this range, the deposition is determined by the self-limiting behaviour of surface adsorption, and the reaction is completed in the second half cycle with an additional reactant. Therefore, the growth rate is nearly independent of the cycle time. The upper limit for this self-limiting growth is usually the thermal decomposition of one of the precursors. In this case, the process is within the regime of chemical vapour deposition (CVD), resulting in a continuous film growth. It is therefore essential to carry out the ALD process in a way that the first precursor only reacts with the second precursor during the second half cycle [22].

Within this study, we present the atomic layer deposition of metallic cobalt using dicobalt hexacarbonyl-1-heptyne [Co2(CO)6HC≡CC5H11] as precursor [23]. We show that the deposition can be carried out at low temperatures in the range from 50 to 110 °C by utilizing H2 plasma as reducing agent with a deposition rate of approximately 0.1 Å/cycle. The deposited films were analysed by X-ray photoelectron spectroscopy and are well in the metallic state. We also show the optimization of the overall process through varying the pulse times for precursor, purging, and the plasma pulse.

Experimental

Process equipment

The ALD process development was done on a scial Atol 200 single-wafer reactor equipped with cassette loading and a handling robot. This machine was designed and fabricated by scia Systems GmbH in corporation with Fraunhofer Institute for Electronic Nano Systems ENAS, Center for Microelectronics of Chemnitz University of Technology, and FAP Forschungs- und Applikationslabor Plasmatechnik GmbH. The reactor is a single-wafer reactor for 200 mm wafers and consists of an inner and an outer reactor with a typical base pressure of 3 × 10−6 mbar. A simplified schematic sketch is shown in Figure 1. The reactor is designed to offer a wide variability as it consists of two bubblers, two Vapbox evaporators (Kemstream SAS), and two CEM systems (Bronkhorst High-Tech B.V.) for precursor delivery. In that way, one can evaporate liquids with high and low vapour pressure, as well as solids in solution. The attached RF generator operates at 13.56 MHz, creating a direct capacitively coupled plasma, if required. The system includes an integrated iSE spectroscopic ellipsometer of J.A. Woollam Co. for inline thickness measurements.

[2190-4286-14-78-1]

Figure 1: Schematic sketch of the scia Atol 200 processing tool.

Basic materials and procedure

The whole study was done using single crystal 200 mm silicon (100) wafers with a pre-coated thermal SiO2 film of 100 nm thickness. As precursor for all depositions dicobalt hexacarbonyl-1-heptyne [Co2(CO)6HC≡CC5H11] was used. The precursor was synthesised according to Georgi et al. [23] and filled to a common 200 mL stainless steel bubbler under inert gas atmosphere. The bubbler was heated to 30 °C, which will result in a vapour pressure of 15.7 mbar according to the published Antoine parameters of Georgi and co-workers [23]. Pure argon (6N) was used as carrier gas for bubbling. The depositions in CVD mode were done with a continuous cobalt precursor delivery and without any further reacting gases. The precursor was provided via the showerhead over the whole wafer surface. The depositions were done at 90, 100, 125, and 150 °C.

The ALD depositions were carried out with molecular hydrogen (H2) as second precursor. During the H2 pulsing step a direct CCP plasma (50 W) was created for the entire pulsing time. The ALD process consists of cycles with the following pattern: cobalt precursor dosing – argon purging – H2 plasma – argon purging. Typical pulse times for this pattern were 6, 1, 2, and 1 s, respectively. For pulse times variations, this pattern has been maintained, except for the altered duration of the cycle step under analysis. Depositions in ALD mode were done at temperatures in the range from 35 to 125 °C.

Characterisation

The film thickness was measured with the integrated iSE ellipsometer of J.A. Woollam Co. at an angle of 70° towards the wafer normal. However, for measurements the inner reactor has to be opened and the substrate has to be moved to a defined measurement position. This was done after a subset of typically 100 ALD cycles. The film thickness after a series of depositions was determined ex situ using a Sentech SE850 ellipsometer of Sentech Instruments GmbH under the same angle of incidence. A map of 50 measurement points with a spiral pattern was used to determine the film thickness distribution. Both systems used the same model for thickness determination, namely a Drude–Lorentzian model for metallic cobalt according to Ward [24] combined with a Tauc–Lorentzian model for possible occurrences of oxidised cobalt [25,26].

The film compositions were measured ex situ by X-ray photoelectron spectroscopy (XPS) on a PRECAV sp. Z. o. o. XPS system using a MX-650 Al X-ray source and a R3000 analyser from VG Scienta using a fixed pass energy of 200 eV. The sample was pre-cleaned by argon sputtering for 2 min with 4.0 keV acceleration energy to remove surface adsorbents and contaminations. The data were analysed using MATPLOTLIB [27,28] and LMFIT [29]. The XPS spectra were corrected using the common Shirley background [30]. The peaks were fitted with the common Voigt profile. In cases of metallic transitions, a non-symmetric profile was used. The normalised deviation and Abbe criteria were calculated according to Hesse and co-workers [31].

Results and Discussion

Exploring the transition from CVD to ALD: determining the upper temperature limit

The metallic cobalt deposition process is based on the precursor dicobalt hexacarbonyl-1-heptyne [Co2(CO)6HC≡CC5H11]. The synthesis and basic characteristics of which have been described by Georgi and co-workers [23]. A schematic sketch of the precursor structure is shown in Figure 2. This precursor was selected because of the following considerations: Georgi et al. provided a set of nine similar complexes with different alkynes and reported their film compositions after CVD experiments. We selected a liquid precursor without Si contaminations and with the lowest amount of remaining oxygen contamination.

[2190-4286-14-78-2]

Figure 2: Schematic sketch of the structure of the precursor [Co2(CO)6HC≡CC5H11].

In order to determine an upper limit of the ALD window of the chosen precursor, a number of CVD experiments were performed at different temperatures using only the cobalt precursor without further reactants. The aim was to find a temperature where the cobalt precursor does not decompose. In that way, the upper limit of a possible ALD window can be approximated.

The CVD experiments of Melzer et al. [32] demonstrated a precursor reaction with O2 in the temperature region from 130 to 250 °C to form cobalt oxide. The deposition experiments by Georgi et al. showed a CVD-based layer formation of metallic cobalt at 250 °C with the cobalt precursor [23]. Based on these previous results, the initial deposition temperature for cobalt metal CVD was set to 150 °C and was decreased successively for further processes. Figure 3 shows the film growth trend of these CVD experiments at 150, 125, 100, and 90 °C. The films grow with linear rates. We assume a linear dependency of type d = r·(tt0) with film thickness d, deposition rate r, deposition time t, and the inhibition time t0, that is, the time where no CVD-like growth may occur. At elevated temperatures of at least 125 °C, the linear fits intersect the origin, as one would expect of a continuous decomposition of the precursor on the wafer surface. We assume a common CVD-like growth at temperatures of 125 °C and above. The results at lower temperatures of 100 and 90 °C show that the deposition mechanism has changed. The thickness trend shows that the deposition seems to be inhibited in the initial phase as t0 rises significantly above 0. The assumed linear relationship has been plotted in Figure 3 for each deposition temperature. The calculated inhibition times are 13.2 min for 100 °C and 35.8 min for 90 °C. The slopes of the linear dependency on the temperature are plotted in Figure 4. This plot also includes the calculated inhibition times according to the assumed linear fit. This simplified assumption shows that the inhibition time in CVD mode rises significantly at temperatures below 125 °C while operating at low deposition rates. This indicates an upper limit for an ALD process window with [Co2(CO)6HC≡CC5H11] as precursor around this temperature.

[2190-4286-14-78-3]

Figure 3: Influence of deposition temperature on film growth rate of continuous CVD depositions. The film growth is based only on thermal decomposition of the precursor.

[2190-4286-14-78-4]

Figure 4: Temperature dependence of inhibition time (red dots) and CVD growth rate (blue diamonds) extracted by using a linear growth approximation.

The deposited CVD films were analysed with XPS. Figure 5 shows the details of the XP spectra of the film deposited at 150 °C. The overview spectrum is given in Supporting Information File 1, Figure S1. The film mainly consists of the three elements carbon (63.1 atom %), oxygen (20.8 atom %), and cobalt (16.1 atom %).

[2190-4286-14-78-5]

Figure 5: XPS measurements of the CVD film deposited at 150 °C.

The main carbon 1s feature is located at 285.0 eV binding energy (Figure 5). This is the typical value for carbon in alkyls [33]. It is likely that this correlates to the carbon bonded as −CH2− within the n-heptyne group of the used precursor. The second peak at 285.6 eV matches the bonding state of the terminating −CH3 groups. The third peak at 289.1 eV is likely correlated to −C=O bonds, especially originating from the carbonyl groups [33]. No evidence could be found of cobalt carbide formation, which would result in binding energies of approximately 284 eV or below [34,35].

The oxygen 1s peak has its maximum at 532.2 eV (Figure 5). However, assuming just one feature will result in a poor fitting result with an Abbe parameter of 0.41, indicating a significant systematic error (see Supporting Information File 1, Figure S2). It is therefore reasonable to assume at least two oxygen components. One is located at 531.9 eV correlated to oxygen in cobalt oxide [36,37]. The higher bonding energy at 532.5 eV correlates to a C=O bonding according to the results from the carbon spectrum [33].

The cobalt 2p peak is split into two parts, the 2p3/2 and the 2p1/2 component, because of the spin–orbit coupling. Cobalt in the metallic state (Co0) has a 2p3/2 peak at 777.3 eV [38] or 778.5 eV [39]. The XPS emission lines of oxidised cobalt CoO consist of a core level peak (Co2+) and a shake-up satellite (Co2+ (S)) [37]. The measured Co 2p3/2 peak consists of three features (Figure 5). This indicates the presence of metallic as well as oxidised cobalt (mainly Co2+). After fitting, the peak with a binding energy of 778.9 eV can be assigned to cobalt (Co0) in metallic state. The peaks located at 781.6 and 785.9 eV correlate to oxidised cobalt. The present cobalt is mainly in an oxidised state with just a slight amount of metallic cobalt after deposition in CVD mode at 150 °C.

The precursor [Co2(CO)6HC≡CC5H11] consists of 9.5 atom % Co, 28.6 atom % O, and 61.9 atom % C, when ignoring H, which is not measurable in XPS. The measured film composition indicates that the carbonyl groups (−CO) have been partially evaporated, while the heptyne group has been incorporated within the film to some extent.

ALD with [Co2(CO)6HC≡CC5H11] and H2 plasma

The CVD experiments showed that the window for temperature-independent depositions in ALD mode can be expected at temperatures below 125 °C, as it was assumed from the temperature-dependent growth rate measurements (Figure 3). We performed a set of deposition experiments for various temperatures in the temperature range of 35 to 125 °C. For all experiments, we used a pattern of 6 s precursor dose, 1 s argon purge, 2 s H2 plasma pulse, and 1 s argon purge, for each cycle.

The temperature dependence of the growth rate for the performed ALD processes is shown in Figure 6. In the temperature region between 50 and 110 °C the deposition rate is almost constant at 0.1 Å/cycle. This deposition rate is lower than that of processes with CCTBA, where a rate of 0.8 Å/cycle could be achieved [15]. The growth rate at 125 °C is significantly higher, indicating a deposition in CVD mode. This is in good agreement with the preliminary results of depositions in CVD mode. The upper limit for ALD depositions is between 110 and 125 °C. The deposition at 35 °C also shows an increased growth rate indicating a lower limit of the ALD window between 35 and 50 °C.

[2190-4286-14-78-6]

Figure 6: Growth per cycle of ALD processes with [Co2(CO)6HC≡CC5H11] precursor at various temperatures.

The film thickness evolves linearly. Figure 7 shows the measured film thickness for a deposition process at 85 °C for subsets of 100 cycles. The corresponding ellipsometry raw data are shown in Supporting Information File 1, Figure S5. We assumed a linear approximation of type d = r·N + d0. This assumption comprises the film thickness d, the growth rate r, the number of cycles N, and an offset d0. The linear assumption for the process at 85 °C matches the measured data within the uncertainty range. The offset is almost negligible.

[2190-4286-14-78-7]

Figure 7: Film thickness evolution measured by in line spectroscopic ellipsometry for a deposition process at 85 °C.

An important property of the deposition via ALD is the surface saturation. This means that the reactive sites of the substrate surface covered by the precursor will saturate with increasing duration of the precursor pulse resulting in an upper limit of the growth rate per cycle. This saturation follows an exponential decay curve [40]. Adapting Tuomo Suntola’s assumption of the surface occupation probability, we use a saturation curve of type r = r0·(1 − ea·t), where r is the deposition rate in Å/cycle, r0 is the deposition rate at saturation, a is an arbitrary factor, and t is the precursor pulse time. Figure 8 shows the influence of the precursor pulse duration on the growth rate at 85 and 90 °C. The plotted saturation curve has been fitted to the data of 90 °C. The best matching parameters are a = 0.917 1/s and r0 = 0.098 Å/cycle. Using these parameters, a growth rate of 99% of the maximum growth rate is reached after 5.02 s precursor pulse time. Following these results, a precursor pulse time of 6 s has been chosen to reach the saturation state safely.

[2190-4286-14-78-8]

Figure 8: Influence of precursor pulse time on growth rate for depositions at 85 and 90 °C including a saturation curve of type r = r0·(1 − ea·t) matching the 90 °C data points.

The purging time after the precursor pulse also may affect the deposition rate. Insufficient purging may result in an increased deposition rate as the remaining precursor can directly react in the gas phase within the hydrogen plasma. Figure 9 shows the influence of different purging times for ALD processes at 85 °C. The processes were done with a precursor pulse time of 6 s, which entails full surface saturation, as shown before. The growth rate is significantly increased for purging times below 0.5 s. With 0.2 s purging time, the growth rate rises to 0.118 Å/cycle. In contrast, the growth rate during the ALD process is nearly independent of the purging time after the hydrogen plasma pulse. For further investigations, a purging time of 1 s has been set for both purges.

[2190-4286-14-78-9]

Figure 9: Influence of purging time after the precursor pulse (purging time 1) and after the H2 plasma pulse (purging time 2) on growth rate at 85 °C.

The duration of the hydrogen plasma pulse also has a significant influence on the deposition behaviour. Figure 10 shows the thickness distribution of ALD layers deposited at 85 °C after 1500 cycles for different plasma pulse times as violin plot [41]. This plot shows the film thickness distribution on the wafer surface for each plasma pulse time. The results show that after 2 s H2 plasma pulse, the layer thickness reaches a maximum value independent of further increasing pulse times. With further increasing plasma pulse duration, the thickness variation over the wafer decreases from 4.0% (at 2 s) to 1.5% (at 4 s) relative standard deviation. The corresponding wafer maps of the thickness distribution are shown in Figure 11 (2 s H2 plasma) and Figure 12 (4 s H2 plasma), respectively.

[2190-4286-14-78-10]

Figure 10: Influence of the H2 plasma pulse length on film thickness with the corresponding thickness distribution as violin plots for 85 °C processes with 1500 cycles and H2 pulse lengths of 1, 2, and 4 s, respectively.

[2190-4286-14-78-11]

Figure 11: Thickness distribution of cobalt film on a 200 mm wafer after 1500 cycles at 85 °C with 2 s H2 plasma pulse; measured by ellipsometry.

[2190-4286-14-78-12]

Figure 12: Thickness distribution of cobalt film on a 200 mm wafer after 1500 cycles at 85 °C with 4 s H2 plasma pulse; measured by ellipsometry.

The films from the optimised ALD processes were analysed by ex situ XPS measurements in order to determine the film compositions. As shown before, films deposited at 35 °C had a slightly increased growth rate. This indicates a different deposition mode, probably caused by incomplete precursor decomposition during the plasma pulse. The XPS measurement and the calculated composition of a film deposited at 35 °C are shown in Figure 13. The film is dominated by carbon and oxygen contaminations. The overview spectrum of this measurement is shown in Supporting Information File 1, Figure S3. The present peaks were deconvoluted analogously to the peaks of the CVD film (see Figure 5). The cobalt 2p peak consists of two features, namely a metallic peak (Co0 at 779.6 eV) and the two peaks of oxidised cobalt (Co2+ at 782.4 eV and Co2+(S) at 787.6 eV). Additionally, the spectrum consists of the L3M23M45 Auger transition peak (LMM at 773.1 eV) [42]. The oxygen peak consists of two parts, that is, a dominant Co−O bonding feature and a weaker CO feature. The carbon peak also consists of a weak CO feature and a dominant CHx part. These results show that a temperature of 35 °C does not provide sufficient thermal energy. In consequence, the precursor ligands are removed only to a minor extent.

[2190-4286-14-78-13]

Figure 13: XPS results of a cobalt film deposited at 35 °C by ALD with [Co2(CO)6HC≡CC5H11] precursor.

The different films prepared in the determined ALD window from 50 to 110 °C exhibit comparable film compositions and differ significantly from the composition of the samples deposited at 35 °C (Figure 13) or 125 °C. Figure 14 shows the XPS results of a film deposited at 85 °C. An overview spectrum of this measurement is shown in Supporting Information File 1, Figure S4. The cobalt 2p doublet has the typical shape of cobalt in the metallic state, including two additional plasmon loss peaks (at 780.9 and 786.4 eV) and a LMM Auger transition peak at 770.8 eV [43]. The 2p3/2 peak maximum is located at 777.5 eV matching the reference value of Tan et al. [38] for metallic cobalt. This shows that the deposited film mainly consists of metallic cobalt. The film still contains contaminating elements, that is, 5.1 atom % of oxygen and 9.7 atom % of carbon. The O 1s peak consists of two features, namely one at 529.2 eV (CoO bond) and one at 530.9 eV (CO). The carbon 1s peak consists of two features. One feature is a small peak at 283.6 eV correlated to CHx bonds as shown in the previous XPS spectra (see Figure 5 and Figure 11). The second feature at 282.5 eV indicates the formation of cobalt carbide [35,44]. This also indicates a different deposition mode compared to the process at 35 °C. However, the sample was exposed to air before measuring XPS and this might be a source for contaminations. Future investigations will be done without breaking the vacuum prior to the XPS measurements.

[2190-4286-14-78-14]

Figure 14: XPS measurements of a cobalt film deposited at 85 °C by ALD with [Co2(CO)6HC≡CC5H11] precursor.

Conclusion

A plasma-enhanced ALD process for Co metal deposition based on [Co2(CO)6HC≡CC5H11] and H2 plasma was successfully developed. The process parameters were optimised regarding film homogeneity and required time. The respective ALD window in the temperature region from 50 to 110 °C was identified, which addresses also temperature-critical applications. The temperature-independent growth rate within this region was approximately 0.1 Å/cycle. The overall process optimisation concerning precursor pulse times, purge times, and plasma pulse time resulted in a homogeneous growth all over a 200 mm wafer. The XPS measurements show that within the ALD window cobalt is deposited in metallic state.

Further work will include the application of this process to high-aspect ratio structures. We will test the feasibility of the cobalt ALD film for direct electroplating of metallic copper. The film resistance has to be investigated and optimised as well.

Supporting Information

Supporting Information File 1: Additional figures with XPS and ellipsometry raw data.
Format: PDF Size: 1001.1 KB Download

Acknowledgements

We thank the whole team of the Center for Microtechnologies for their technological support. We also thank the colleagues N. Rüffer and H. Lang of the Professorship Inorganic Chemistry of the University of Technology Chemnitz for providing the precursor [Co2(CO)6HC≡CC5H11]. Parts of this work have been presented at the EUROCVD / Baltic ALD conference in Leuven 2023 [45].

Funding

This work was funded by the EFRE fund of the European Commission and by funding of the Free State of Saxony of the Federal Republic of Germany (project ALMET, grant number 100302427).

References

  1. Kaloyeros, A. E.; Pan, Y.; Goff, J.; Arkles, B. ECS J. Solid State Sci. Technol. 2019, 8, P119–P152. doi:10.1149/2.0051902jss
    Return to citation in text: [1] [2]
  2. Auth, C.; Aliyarukunju, A.; Asoro, M.; Bergstrom, D.; Bhagwat, V.; Birdsall, J.; Bisnik, N.; Buehler, M.; Chikarmane, V.; Ding, G.; Fu, Q.; Gomez, H.; Han, W.; Hanken, D.; Haran, M.; Hattendorf, M.; Heussner, R.; Hiramatsu, H.; Ho, B.; Jaloviar, S.; Jin, I.; Joshi, S.; Kirby, S.; Kosaraju, S.; Kothari, H.; Leatherman, G.; Lee, K.; Leib, J.; Madhavan, A.; Marla, K.; Meyer, H.; Mule, T.; Parker, C.; Parthasarathy, S.; Pelto, C.; Pipes, L.; Post, I.; Prince, M.; Rahman, A.; Rajamani, S.; Saha, A.; Santos, J. D.; Sharma, M.; Sharma, V.; Shin, J.; Sinha, P.; Smith, P.; Sprinkle, M.; Amour, A. S.; Staus, C.; Suri, R.; Towner, D.; Tripathi, A.; Tura, A.; Ward, C.; Yeoh, A. A 10nm High Performance and Low-Power CMOS Technology Featuring 3 rd Generation FinFET Transistors, Self-Aligned Quad Patterning, Contact over Active Gate and Cobalt Local Interconnects. In 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, Dec 2–6, 2017; IEEE; 29.1.1–29.1.4. doi:10.1109/iedm.2017.8268472
    Return to citation in text: [1] [2]
  3. Bekiaris, N.; Wu, Z.; Ren, H.; Naik, M.; Park, J. H.; Lee, M.; Ha, T. H.; Hou, W.; Bakke, J. R.; Gage, M.; Wang, Y.; Tang, J. Cobalt Fill for Advanced Interconnects. In 2017 IEEE International Interconnect Technology Conference (IITC), Hsinchu, Taiwan, May 16–18, 2017; IEEE, 2017; pp 1–3. doi:10.1109/iitc-amc.2017.7968981
    Return to citation in text: [1] [2]
  4. Kamineni, V.; Raymond, M.; Siddiqui, S.; Mont, F.; Tsai, S.; Niu, C.; Labonte, A.; Labelle, C.; Fan, S.; Peethala, B.; Adusumilli, P.; Patlolla, R.; Priyadarshini, D.; Mignot, Y.; Carr, A.; Pancharatnam, S.; Shearer, J.; Surisetty, C.; Arnold, J.; Canaperi, D.; Haran, B.; Jagannathan, H.; Chafik, F.; L’Herron, B. Tungsten and Cobalt Metallization: A Material Study for MOL Local Interconnects. In 2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference (IITC/AMC), San Jose, CA, USA, May 23–26, 2016; IEEE; pp 105–107. doi:10.1109/iitc-amc.2016.7507698
    Return to citation in text: [1]
  5. Hung, R.; Park, J. H.; Ha, T. H.; Lee, M.; Hou, W.; Lei, J.; Bakke, J. R.; Sharma, S.; Sharma, K. R.; Kim, N. S.; Yeh, E.; Wachs, A. Extreme Contact Scaling with Advanced Metallization of Cobalt. In 2018 IEEE International Interconnect Technology Conference (IITC), Santa Clara, CA, USA, June 4–7, 2018; IEEE, 2018; pp 30–32. doi:10.1109/iitc.2018.8430434
    Return to citation in text: [1]
  6. Sharma, A.; Matthes, P.; Soldatov, I.; Arekapudi, S. S. P. K.; Böhm, B.; Lindner, M.; Selyshchev, O.; Thi Ngoc Ha, N.; Mehring, M.; Tegenkamp, C.; Schulz, S. E.; Zahn, D. R. T.; Paltiel, Y.; Hellwig, O.; Salvan, G. J. Mater. Chem. C 2020, 8, 11822–11829. doi:10.1039/d0tc02734k
    Return to citation in text: [1]
  7. Database of ALD processes. https://www.atomiclimits.com/alddatabase/ (accessed May 2, 2023). doi:10.6100/alddatabase
    Return to citation in text: [1]
  8. Puurunen, R. L. J. Appl. Phys. 2005, 97, 121301. doi:10.1063/1.1940727
    Return to citation in text: [1]
  9. Miikkulainen, V.; Leskelä, M.; Ritala, M.; Puurunen, R. L. J. Appl. Phys. 2013, 113, 021301. doi:10.1063/1.4757907
    Return to citation in text: [1]
  10. Knoops, H. C. M.; Faraz, T.; Arts, K.; Kessels, W. M. M. J. Vac. Sci. Technol., A 2019, 37, 030902. doi:10.1116/1.5088582
    Return to citation in text: [1]
  11. Mackus, A. J. M.; Schneider, J. R.; MacIsaac, C.; Baker, J. G.; Bent, S. F. Chem. Mater. 2019, 31, 1142–1183. doi:10.1021/acs.chemmater.8b02878
    Return to citation in text: [1]
  12. Zhu, B.; Ding, Z.-J.; Wu, X.; Liu, W.-J.; Zhang, D. W.; Ding, S.-J. Nanoscale Res. Lett. 2019, 14, 76. doi:10.1186/s11671-019-2913-2
    Return to citation in text: [1]
  13. You, J.; Guo, Y. J. Alloys Compd. 2018, 758, 116–121. doi:10.1016/j.jallcom.2018.05.075
    Return to citation in text: [1]
  14. Lee, K.; Kim, K.; Jeon, H.; Lee, Y.; Kim, J.; Yeom, S. J. Korean Phys. Soc. 2007, 50, 1141–1146.
    Return to citation in text: [1]
  15. Park, J.-H.; Moon, D.-Y.; Han, D.-S.; Kang, Y.-J.; Shin, S.-R.; Jeon, H.-T.; Park, J.-W. Surf. Coat. Technol. 2014, 259, 98–101. doi:10.1016/j.surfcoat.2014.05.005
    Return to citation in text: [1] [2]
  16. Kim, K.; Lee, K.; Han, S.; Jeong, W.; Jeon, H. J. Electrochem. Soc. 2007, 154, H177. doi:10.1149/1.2429035
    Return to citation in text: [1]
  17. Li, M.-J.; Breeden, M.; Wang, V.; Linn, N. M. K.; Winter, C. H.; Kummel, A.; Bakir, M. S. Characterization of Low-Temperature Selective Cobalt Atomic Layer Deposition (ALD) for Chip Bonding. In 2021 IEEE International Interconnect Technology Conference (IITC), Kyoto, Japan, 2021, July 6–9, 2021; IEEE; pp 1–3. doi:10.1109/iitc51362.2021.9537353
    Return to citation in text: [1]
  18. Zanders, D.; Liu, J.; Obenlüneschloß, J.; Bock, C.; Rogalla, D.; Mai, L.; Nolan, M.; Barry, S. T.; Devi, A. Chem. Mater. 2021, 33, 5045–5057. doi:10.1021/acs.chemmater.1c00877
    Return to citation in text: [1]
  19. Longo, E.; Mantovan, R.; Cecchini, R.; Overbeek, M. D.; Longo, M.; Trevisi, G.; Lazzarini, L.; Tallarida, G.; Fanciulli, M.; Winter, C. H.; Wiemer, C. Nano Res. 2020, 13, 570–575. doi:10.1007/s12274-020-2657-4
    Return to citation in text: [1]
  20. Wolf, S.; Breeden, M.; Ueda, S.; Woodruff, J.; Moinpour, M.; Kanjolia, R.; Kummel, A. Appl. Surf. Sci. 2020, 510, 144804. doi:10.1016/j.apsusc.2019.144804
    Return to citation in text: [1]
  21. Kerrigan, M. M.; Klesko, J. P.; Winter, C. H. Chem. Mater. 2017, 29, 7458–7466. doi:10.1021/acs.chemmater.7b02456
    Return to citation in text: [1]
  22. George, S. M. Chem. Rev. 2010, 110, 111–131. doi:10.1021/cr900056b
    Return to citation in text: [1]
  23. Georgi, C.; Hildebrandt, A.; Waechtler, T.; Schulz, S. E.; Gessner, T.; Lang, H. J. Mater. Chem. C 2014, 2, 4676–4682. doi:10.1039/c4tc00288a
    Return to citation in text: [1] [2] [3] [4] [5]
  24. Ward, L. Cobalt (Co). In Handbook of Optical Constants of Solids; Palik, E. D., Ed.; Academic Press: Burlington, MA, USA, 1997; pp 435–448. doi:10.1016/b978-012544415-6.50059-5
    Return to citation in text: [1]
  25. Barrera-Calva, E.; Martínez-Flores, J. C.; Huerta, L.; Avila, A.; Ortega-López, M. Sol. Energy Mater. Sol. Cells 2006, 90, 2523–2531. doi:10.1016/j.solmat.2006.03.024
    Return to citation in text: [1]
  26. Barrera, C. E.; Martínez-Flores, J. C.; Gonzalez, G. F.; Ortega-Lopez, M.; Rosas, R. C. Open Surf. Sci. J. 2013, 5, 9–16. doi:10.2174/1876531901305010009
    Return to citation in text: [1]
  27. matplotlib/matplotlib, REL: V3.6.0; 2022. doi:10.5281/zenodo.7084615
    Return to citation in text: [1]
  28. Hunter, J. D. Comput. Sci. Eng. 2007, 9, 90–95. doi:10.1109/mcse.2007.55
    Return to citation in text: [1]
  29. Lmfit/Lmfit-Py, version 1.0.3; 2021. doi:10.5281/zenodo.5570790
    Return to citation in text: [1]
  30. Végh, J. J. Electron Spectrosc. Relat. Phenom. 2006, 151, 159–164. doi:10.1016/j.elspec.2005.12.002
    Return to citation in text: [1]
  31. Hesse, R.; Chassé, T.; Streubel, P.; Szargan, R. Surf. Interface Anal. 2004, 36, 1373–1383. doi:10.1002/sia.1925
    Return to citation in text: [1]
  32. Melzer, M.; Nichenametla, C. K.; Georgi, C.; Lang, H.; Schulz, S. E. RSC Adv. 2017, 7, 50269–50278. doi:10.1039/c7ra08810h
    Return to citation in text: [1]
  33. Beamson, G.; Briggs, D. High Resolution XPS of Organic Polymers: The Scienta ESCA300 Database; John Wiley & Sons: Chichester, USA, 1992.
    Return to citation in text: [1] [2] [3]
  34. Trinh, Q. T.; Tan, K. F.; Borgna, A.; Saeys, M. J. Phys. Chem. C 2013, 117, 1684–1691. doi:10.1021/jp3089758
    Return to citation in text: [1]
  35. Wu, C. H.; Eren, B.; Bluhm, H.; Salmeron, M. B. ACS Catal. 2017, 7, 1150–1157. doi:10.1021/acscatal.6b02835
    Return to citation in text: [1] [2]
  36. McIntyre, N. S.; Johnston, D. D.; Coatsworth, L. L.; Davidson, R. D.; Brown, J. R. Surf. Interface Anal. 1990, 15, 265–272. doi:10.1002/sia.740150406
    Return to citation in text: [1]
  37. Chuang, T. J.; Brundle, C. R.; Rice, D. W. Surf. Sci. 1976, 59, 413–429. doi:10.1016/0039-6028(76)90026-1
    Return to citation in text: [1] [2]
  38. Tan, B. J.; Klabunde, K. J.; Sherwood, P. M. A. J. Am. Chem. Soc. 1991, 113, 855–861. doi:10.1021/ja00003a019
    Return to citation in text: [1] [2]
  39. Mandale, A. B.; Badrinarayanan, S.; Date, S. K.; Sinha, A. P. B. J. Electron Spectrosc. Relat. Phenom. 1984, 33, 61–72. doi:10.1016/0368-2048(84)80006-7
    Return to citation in text: [1]
  40. Suntola, T. Mater. Sci. Rep. 1989, 4, 261–312. doi:10.1016/s0920-2307(89)80006-4
    Return to citation in text: [1]
  41. Hintze, J. L.; Nelson, R. D. Am. Stat. 1998, 52, 181–184. doi:10.1080/00031305.1998.10480559
    Return to citation in text: [1]
  42. Moulder, J. F.; Chastain, J.; King, R. C. Handbook of X-Ray Photoelectron Spectroscopy: A Reference Book of Standard Spectra for Identification and Interpretation of XPS Data; Physical Electronics: Eden Prairie, MN, USA, 1995.
    Return to citation in text: [1]
  43. Biesinger, M. C.; Payne, B. P.; Grosvenor, A. P.; Lau, L. W. M.; Gerson, A. R.; Smart, R. S. C. Appl. Surf. Sci. 2011, 257, 2717–2730. doi:10.1016/j.apsusc.2010.10.051
    Return to citation in text: [1]
  44. Fan, Q.; Guo, Z.; Li, Z.; Wang, Z.; Yang, L.; Chen, Q.; Liu, Z.; Wang, X. ACS Appl. Electron. Mater. 2019, 1, 444–453. doi:10.1021/acsaelm.9b00006
    Return to citation in text: [1]
  45. Franz, M.; Daniel, M.; Hu, X.; Schulz, S. E. Development of a Cobalt Atomic Layer Deposition Process Using Co2(CO)6HC≡CC5H11 as Precursor; Zenodo; EUROCVD / Baltic ALD: Leuven, Belgium; 2023. doi:10.5281/zenodo.7985165.
    Return to citation in text: [1]
Other Beilstein-Institut Open Science Activities