Search results

Search for "photoresist" in Full Text gives 61 result(s) in Beilstein Journal of Nanotechnology.

Heat-induced morphological changes in silver nanowires deposited on a patterned silicon substrate

  • Elyad Damerchi,
  • Sven Oras,
  • Edgars Butanovs,
  • Allar Liivlaid,
  • Mikk Antsov,
  • Boris Polyakov,
  • Annamarija Trausa,
  • Veronika Zadin,
  • Andreas Kyritsakis,
  • Loïc Vidal,
  • Karine Mougin,
  • Siim Pikker and
  • Sergei Vlassov

Beilstein J. Nanotechnol. 2024, 15, 435–446, doi:10.3762/bjnano.15.39

Graphical Abstract
  • silicon substrates with square holes were prepared from (100) silicon wafers (Semiconductor Wafer, Inc.) with 50 nm thermal oxide in four steps as follows: 1) conventional optical lithography process to produce the desired pattern in a photoresist on the wafer; 2) selective removal of SiO2 using buffered
PDF
Album
Supp Info
Full Research Paper
Published 22 Apr 2024

Design, fabrication, and characterization of kinetic-inductive force sensors for scanning probe applications

  • August K. Roos,
  • Ermes Scarano,
  • Elisabet K. Arvidsson,
  • Erik Holmgren and
  • David B. Haviland

Beilstein J. Nanotechnol. 2024, 15, 242–255, doi:10.3762/bjnano.15.23

Graphical Abstract
  • niobium and titanium targets [33] in an ATC2200 from AJA International Inc., with a deposition rate of roughly 3 nm/min. (b) Pads and markers. A lift-off process defines the gold contact pads and alignment marks. We spin a 400 nm thick photoresist (maN1407), bake on a hotplate at 100 °C for 60 s and
  • patterned wafer is ultrasonically cleaned and rinsed with IPA. (c) Back-side mask. Before fabricating the chromium etch mask on the back side, we first protect the wafer’s front side with a thin PMMA layer. We then define the lift-off mask on the wafer back side by spinning a 400 nm thick photoresist
  • . Photolithography defines the chip and cantilever. We spin a 1.7 μm thick photoresist maP1225, bake at 105 °C for 2 min. We then expose with a dose of 300 mJ/cm2 in the MLA150, and develop in maD331 for 45 s. We etch through the Si-N layer using a CHF3/SF6 process with an etch rate of roughly 100 nm/min in the
PDF
Album
Full Research Paper
Published 15 Feb 2024

Measurements of dichroic bow-tie antenna arrays with integrated cold-electron bolometers using YBCO oscillators

  • Leonid S. Revin,
  • Dmitry A. Pimanov,
  • Alexander V. Chiginev,
  • Anton V. Blagodatkin,
  • Viktor O. Zbrozhek,
  • Andrey V. Samartsev,
  • Anastasia N. Orlova,
  • Dmitry V. Masterov,
  • Alexey E. Parafin,
  • Victoria Yu. Safonova,
  • Anna V. Gordeeva,
  • Andrey L. Pankratov,
  • Leonid S. Kuzmin,
  • Anatolie S. Sidorenko,
  • Silvia Masi and
  • Paolo de Bernardis

Beilstein J. Nanotechnol. 2024, 15, 26–36, doi:10.3762/bjnano.15.3

Graphical Abstract
  • contact pads, DC lines, and antennas is made. The second electronic lithography step is used for the exposition of the bolometric layer. During photolithography, the first exposure was carried out with two photoresists. This is because the DC linewidth was 3 µm, and the use of a single photoresist would
PDF
Album
Full Research Paper
Published 04 Jan 2024

TEM sample preparation of lithographically patterned permalloy nanostructures on silicon nitride membranes

  • Joshua Williams,
  • Michael I. Faley,
  • Joseph Vimal Vas,
  • Peng-Han Lu and
  • Rafal E. Dunin-Borkowski

Beilstein J. Nanotechnol. 2024, 15, 1–12, doi:10.3762/bjnano.15.1

Graphical Abstract
  • resist is spin-coated on top. The resist used is AZ® nLof 2020 diluted with AZ® EBR solvent. The producers describe this as a photoresist (UV), but it is also compatible with electron beams. It is spun on top of Py at 4000 rpm and baked at 110 °C for 1 min. The resulting thickness is around 448 nm for 1
PDF
Album
Supp Info
Full Research Paper
Published 02 Jan 2024

Hierarchically patterned polyurethane microgrooves featuring nanopillars or nanoholes for neurite elongation and alignment

  • Lester Uy Vinzons,
  • Guo-Chung Dong and
  • Shu-Ping Lin

Beilstein J. Nanotechnol. 2023, 14, 1157–1168, doi:10.3762/bjnano.14.96

Graphical Abstract
  • approaches are quite limited. In this work, we show that nanopillars and nanoholes, and their combination with microgrooves, can be patterned on polyurethane (PU) films using a low-cost, reusable photoresist master mold prepared via nanosphere lens lithography and UV-LED photolithography, with specific
  • “reinforcement” methods for overcoming the inherent drawbacks of using photoresist masters. We show that the PU nanopillars and nanoholes increase the neurite length of pheochromocytoma 12 (PC12) cells through unique growth cone interactions. Moreover, we demonstrate, for the first time, that hierarchically
  • ) system to create arrays of nanodots and nanopillars combined with microgrooves on the epoxy-based SU-8 negative photoresist [15][16]. While we found an improvement in PC12 neurite alignment on the ridge areas of nanopillared microgrooves, the overall alignment was not significantly different from that of
PDF
Album
Supp Info
Full Research Paper
Published 29 Nov 2023

Spatial mapping of photovoltage and light-induced displacement of on-chip coupled piezo/photodiodes by Kelvin probe force microscopy under modulated illumination

  • Zeinab Eftekhari,
  • Nasim Rezaei,
  • Hidde Stokkel,
  • Jian-Yao Zheng,
  • Andrea Cerreta,
  • Ilka Hermes,
  • Minh Nguyen,
  • Guus Rijnders and
  • Rebecca Saive

Beilstein J. Nanotechnol. 2023, 14, 1059–1067, doi:10.3762/bjnano.14.87

Graphical Abstract
  • (PBZT) and a 150 nm LNO as the top electrode were deposited. The wafer was patterned by a standard photolithographic process, starting with the application and patterning of the photoresist mask for defining the device areas. Subsequently, the excess PBZT and LNO were removed by a wet etching process
  • finalized by etching circular holes from the backside of the wafer to obtain thin membranes. The sizes of these holes were defined by applying and patterning a photoresist on the backside of the wafer, which was then anisotropically etched by deep reactive ion etching (DRIE) using SF6, O2, and C4F8 gases
PDF
Album
Supp Info
Full Research Paper
Published 06 Nov 2023

Silver-based SERS substrates fabricated using a 3D printed microfluidic device

  • Phommachith Sonexai,
  • Minh Van Nguyen,
  • Bui The Huy and
  • Yong-Ill Lee

Beilstein J. Nanotechnol. 2023, 14, 793–803, doi:10.3762/bjnano.14.65

Graphical Abstract
  • a substrate [23]. This procedure typically requires a clean room and expensive facilities such as a photolithography machine, a spin-coater, and photoresist agents, as well as long processing times and well-trained technical staff. Additionally, the photolithography process is limited to planar
PDF
Album
Supp Info
Full Research Paper
Published 21 Jul 2023

Gap-directed chemical lift-off lithographic nanoarchitectonics for arbitrary sub-micrometer patterning

  • Chang-Ming Wang,
  • Hong-Sheng Chan,
  • Chia-Li Liao,
  • Che-Wei Chang and
  • Wei-Ssu Liao

Beilstein J. Nanotechnol. 2023, 14, 34–44, doi:10.3762/bjnano.14.4

Graphical Abstract
  • important parameters to modulate (Scheme 1B). H is regulated by the photoresist mold in which the PDMS resin is cured in, which in turn can be controlled by spin coating speed and photoresist concentration. On the other hand, D and W are both determined by the photomask used. It is important to note that
  • purchased from Echo Chemical (Taipei, Taiwan). Hexamethyldisilazane (HMDS) was purchased from Sigma-Aldrich (St Louis, MO, USA). Iron nitrate and thiourea were purchased from Showa Chemical Industry Co., Ltd. (Tokyo, Japan). Positive photoresist AZ6112 was purchased from AZ Electronic Materials Taiwan Co
PDF
Album
Full Research Paper
Published 04 Jan 2023

Analytical and numerical design of a hybrid Fabry–Perot plano-concave microcavity for hexagonal boron nitride

  • Felipe Ortiz-Huerta and
  • Karina Garay-Palmett

Beilstein J. Nanotechnol. 2022, 13, 1030–1037, doi:10.3762/bjnano.13.90

Graphical Abstract
  • (Figure 2b,c) to prevent the accumulation of the photopolymer resist, inside the solidified concave polymer, when the sample is developed (SU-8 developer) and cleaned (IPA) to remove any remaining photoresist and developer, respectively, after the 2PP process is finished. Analytical design Geometrical
PDF
Album
Full Research Paper
Published 27 Sep 2022

Fabrication and testing of polymer microneedles for transdermal drug delivery

  • Vahid Ebrahiminejad,
  • Zahra Faraji Rad,
  • Philip D. Prewett and
  • Graham J. Davies

Beilstein J. Nanotechnol. 2022, 13, 629–640, doi:10.3762/bjnano.13.55

Graphical Abstract
  • photoresist was drop cast onto an indium tin oxide (ITO) glass substrate prior to starting the printing process. A dip-in laser lithography (DiLL) objective (25× magnification, NA = 0.8) was used for printing, after which the MN array was washed in propylene glycol methyl ether acetate (PGMEA) for 10 minutes
PDF
Album
Full Research Paper
Published 08 Jul 2022

Design aspects of Bi2Sr2CaCu2O8+δ THz sources: optimization of thermal and radiative properties

  • Mikhail M. Krasnov,
  • Natalia D. Novikova,
  • Roger Cattaneo,
  • Alexey A. Kalenyuk and
  • Vladimir M. Krasnov

Beilstein J. Nanotechnol. 2021, 12, 1392–1403, doi:10.3762/bjnano.12.103

Graphical Abstract
  • glue. The crystal is cleaved under ambient conditions. After that the sample is immediately put into a deposition chamber and a protective gold layer of 60–80 nm is deposited to avoid surface passivation. Next, a line pattern of photoresist is written with a length of 100–200 μm and a width of 5–15 μm
  • on a flat portion of Bi-2212 surface, followed by argon-ion etching of the unprotected parts of Au and Bi-2212, the deposition of insulating SiO2 or CaF2 layers and a lift-off of the photoresist at the line. The depth of Bi-2212 etching at this stage (dm ≈ 200–400 nm) defines the height of mesas and
PDF
Album
Full Research Paper
Published 21 Dec 2021

An overview of microneedle applications, materials, and fabrication methods

  • Zahra Faraji Rad,
  • Philip D. Prewett and
  • Graham J. Davies

Beilstein J. Nanotechnol. 2021, 12, 1034–1046, doi:10.3762/bjnano.12.77

Graphical Abstract
  • materials such as polymethylmethacrylate (PMMA) or SU-8 epoxy resin chemically amplified resist. The former is a positive-tone photoresist in which chemical bonds undergo scission upon exposure to the UV light, rendering the exposed regions of the pattern more soluble in the developer. For negative resists
  • dissolving versions for drug delivery [27][37][99]. Figure 4a is a schematic of the manufacturing procedure for γ-PGA microneedles and Figure 4b shows the manufactured array [99]. In another study, photolithography was first used to create master structures from SU-8 photoresist by UV photolithography
  • etching using an inductively coupled plasma (ICP) etcher, then the polymer microneedles were replicated using a PDMS negative soft mould and hot embossing [100]. SU-8 photoresist has been used, not just for master moulds, but also as the final material for microneedles. Long hollow microneedles, ≈1500 μm
PDF
Album
Review
Published 13 Sep 2021

Recent progress in actuation technologies of micro/nanorobots

  • Ke Xu and
  • Bing Liu

Beilstein J. Nanotechnol. 2021, 12, 756–765, doi:10.3762/bjnano.12.59

Graphical Abstract
  • nanoparticles and photoresist are uniformly mixed and a U-shaped pattern is processed by photolithography. The robot could capture and automatically transport microbeads injected with chemicals to specific locations in neurons under the control of a gradient magnetic field, which has potential applications in
PDF
Album
Review
Published 20 Jul 2021

Intracranial recording in patients with aphasia using nanomaterial-based flexible electronics: promises and challenges

  • Qingchun Wang and
  • Wai Ting Siok

Beilstein J. Nanotechnol. 2021, 12, 330–342, doi:10.3762/bjnano.12.27

Graphical Abstract
  • (iWEBS) was fabricated to map cortical connectivity in a wide region, as presented in Figure 5a. The microelectrode array was made of patterned Au wires passivated with SU-8 photoresist on a flexible polyimide (PI) substrate (Figure 5b). The thickness of iWEBSis was only 14.5 µm with 2 µm SU-8 and 12.5
PDF
Album
Review
Published 08 Apr 2021

Piezotronic effect in AlGaN/AlN/GaN heterojunction nanowires used as a flexible strain sensor

  • Jianqi Dong,
  • Liang Chen,
  • Yuqing Yang and
  • Xingfu Wang

Beilstein J. Nanotechnol. 2020, 11, 1847–1853, doi:10.3762/bjnano.11.166

Graphical Abstract
  • specific top-down two-step preparation process of freestanding AlGaN/AlN/GaN heterojunction NWs, including isotropic ICP dry etching and selective EC wet etching [25][26], is shown in Figure 2. First, a layer of photoresist was spin coated on the surface of the wafer from MOCVD, and advanced stepper
  • , the striped photoresist mask, which covers the surface, was removed with acetone to obtain the structure shown in Figure 2a. The settings for the stripe width (900 nm) and the interval between the stripes (3 μm) were controlled during stepper lithography. After ICP dry etching, the sample was placed
PDF
Album
Full Research Paper
Published 10 Dec 2020

Electrokinetic characterization of synthetic protein nanoparticles

  • Daniel F. Quevedo,
  • Cody J. Lentz,
  • Adriana Coll de Peña,
  • Yazmin Hernandez,
  • Nahal Habibi,
  • Rikako Miki,
  • Joerg Lahann and
  • Blanca H. Lapizco-Encinas

Beilstein J. Nanotechnol. 2020, 11, 1556–1567, doi:10.3762/bjnano.11.138

Graphical Abstract
  • posts (Figure 2b and Figure S1, Supporting Information File 1) were made from PDMS employing standard soft lithography techniques. To create a device, PDMS (Dow Corning, Midland, MI) was cast onto a negative replica mold made with a silicon wafer (Silicon Inc., Boise, ID) and an SU-8 3050 photoresist
PDF
Album
Supp Info
Full Research Paper
Published 13 Oct 2020

An atomic force microscope integrated with a helium ion microscope for correlative nanoscale characterization

  • Santiago H. Andany,
  • Gregor Hlawacek,
  • Stefan Hummel,
  • Charlène Brillard,
  • Mustafa Kangül and
  • Georg E. Fantner

Beilstein J. Nanotechnol. 2020, 11, 1272–1279, doi:10.3762/bjnano.11.111

Graphical Abstract
  • topography of the photoresist PMMA. Many more examples can be envisioned. The He ion beam is known to change the mechanical [37], electrical [38], and magnetic properties of materials [39]. AFM can be used to measure mechanical properties using contact resonance [40][41] or off-resonance tapping techniques
PDF
Album
Full Research Paper
Published 26 Aug 2020

Electrochemical nanostructuring of (111) oriented GaAs crystals: from porous structures to nanowires

  • Elena I. Monaico,
  • Eduard V. Monaico,
  • Veaceslav V. Ursaki,
  • Shashank Honnali,
  • Vitalie Postolache,
  • Karin Leistner,
  • Kornelius Nielsch and
  • Ion M. Tiginyanu

Beilstein J. Nanotechnol. 2020, 11, 966–975, doi:10.3762/bjnano.11.81

Graphical Abstract
  • photodetector for the IR region of the spectrum was tested, as described in the Experimental section. A special design of contacts was applied via laser beam lithography on selected nanowires. As illustrated in Figure 7A, defined regions (bright regions) were opened in the photoresist (dark regions) for further
  • bulk (curve 1) and anodized (curve 2) GaAs samples measured at a temperature of 10 K. XRD pattern of the anodized GaAs(111)B sample. (A) Optical microscopy image of the opened regions in the photoresist on the glass substrate for deposition of the metal contacts on the selected GaAs nanowire. The inset
PDF
Album
Full Research Paper
Published 29 Jun 2020

Integrated photonics multi-waveguide devices for optical trapping and Raman spectroscopy: design, fabrication and performance demonstration

  • Gyllion B. Loozen,
  • Arnica Karuna,
  • Mohammad M. R. Fanood,
  • Erik Schreuder and
  • Jacob Caro

Beilstein J. Nanotechnol. 2020, 11, 829–842, doi:10.3762/bjnano.11.68

Graphical Abstract
  • smooth waveguide facets. For this step, we use a double layer resist (hard mask/photoresist) for good dimensional control and high etch resistance. For most devices, to facilitate filling, the microbath has side channels (see subsection on the microbath), which are etched simultaneously with the
  • , because this device is made from a dummy wafer, for which we only used photoresist as the masking layer during the DRIE process. The actual devices used for the experiments do not have this feature, since in their fabrication, we applied the double layer resist described above. Figure 6d is a camera image
PDF
Album
Supp Info
Full Research Paper
Published 27 May 2020

Deterministic placement of ultra-bright near-infrared color centers in arrays of silicon carbide micropillars

  • Stefania Castelletto,
  • Abdul Salam Al Atem,
  • Faraz Ahmed Inam,
  • Hans Jürgen von Bardeleben,
  • Sophie Hameau,
  • Ahmed Fahad Almutairi,
  • Gérard Guillot,
  • Shin-ichiro Sato,
  • Alberto Boretti and
  • Jean Marie Bluet

Beilstein J. Nanotechnol. 2019, 10, 2383–2395, doi:10.3762/bjnano.10.229

Graphical Abstract
  • lithography and a metallic hard mask (Ti/Ni), we have realized micropillar arrays with a height of 4.5 µm, a diameter of 700 nm, and a pitch of 4 µm. The fabrication process (Figure 1a–d) started with coating the samples with photoresist (AZ5214E) and exposing them to a UV laser (λ = 365 nm, Heidelberg µPG101
PDF
Album
Full Research Paper
Published 05 Dec 2019

Atomic force acoustic microscopy reveals the influence of substrate stiffness and topography on cell behavior

  • Yan Liu,
  • Li Li,
  • Xing Chen,
  • Ying Wang,
  • Meng-Nan Liu,
  • Jin Yan,
  • Liang Cao,
  • Lu Wang and
  • Zuo-Bin Wang

Beilstein J. Nanotechnol. 2019, 10, 2329–2337, doi:10.3762/bjnano.10.223

Graphical Abstract
  • able to characterize materials at high lateral resolution. To produce substrates of tunable stiffness and topography, we imprint nanostripe patterns on undeveloped and developed SU-8 photoresist films using electron-beam lithography (EBL). Elastic deformations of the substrate surfaces and the cells
  • for the tissue regeneration therapy in biomedicine. Keywords: atomic force acoustic microscopy (AFAM); cell growth; nanopattern; stiffness; SU-8 photoresist; topography; Introduction The interactions of cells with extracellular matrices (ECMs) play important roles in regenerative medicine and tissue
  • SU-8 photoresist films as the substrate and generated local changes in the stiffness and the nanopattern topography on the surface. The SU-8 photoresist has been used as the material for biosensors in living tissues [24] and cell culture molds in vitro due to its excellent biocompatibility [16] and
PDF
Album
Supp Info
Full Research Paper
Published 26 Nov 2019

Effects of post-lithography cleaning on the yield and performance of CVD graphene-based devices

  • Eduardo Nery Duarte de Araujo,
  • Thiago Alonso Stephan Lacerda de Sousa,
  • Luciano de Moura Guimarães and
  • Flavio Plentz

Beilstein J. Nanotechnol. 2019, 10, 349–355, doi:10.3762/bjnano.10.34

Graphical Abstract
  • geometry, the second step was used for the fabrication of the electrodes. In the first photolithography step, graphene was coated with a 1340 nm thick layer of photoresist (AZ 1512 HS of MicroChemicals.com) (Figure 1a). Then, the geometry of the device was defined by direct laser writing photolithography
  • (Figure 1b), followed by the development of the exposed photoresist in AZ 351B (1:4) developer (MicroChemicals.com, Figure 1c). After this development, the excess of graphene was removed with O2 plasma (Figure 1d). Finally, we removed the photoresist layer (Figure 1e) using different protocols, as we
  • describe next. In the second photolithography step, the previously patterned graphene was coated with a 820 nm thick layer of poly(dimethylglutarimide) (PMGI)-based resist, LOR5A from Microchem.com, followed by 1340 nm thick layer of photoresist AZ 1512 HS (Figure 1f). After the UV exposition, the
PDF
Album
Full Research Paper
Published 05 Feb 2019

Femtosecond laser-assisted fabrication of chalcopyrite micro-concentrator photovoltaics

  • Franziska Ringleb,
  • Stefan Andree,
  • Berit Heidmann,
  • Jörn Bonse,
  • Katharina Eylers,
  • Owen Ernst,
  • Torsten Boeck,
  • Martina Schmid and
  • Jörg Krüger

Beilstein J. Nanotechnol. 2018, 9, 3025–3038, doi:10.3762/bjnano.9.281

Graphical Abstract
  • electrical and high thermal conductivity, the photoresist SU8 was used for this purpose. In order to apply the photoresist, a precursor solution was distributed evenly on the sample via spin coating (Figure 12b). Subsequently, this solution was photochemically converted into SU8 and cured by means of thermal
  • compensated, since the spin-coated photoresist insulates any potentially occurring irregularities such as microcavities. The microcells were connected in a parallel manner and exhibited efficiencies between 0.15% and 2.9% under 1 sun illumination. Under concentrated illumination, significant efficiency
  • approach (left) to CISe micro absorbers (middle and right). Scheme of the process for manufacturing solar cells from microabsorbers. a) CISe absorber, b) spin coating of photoresist (insulator), c) reactive ion etching in Ar+ plasma, and d) addition of CdS and ZnO buffer layers and Al:ZnO front contact
PDF
Album
Review
Published 12 Dec 2018

Evaluation of replicas manufactured in a 3D-printed nanoimprint unit

  • Manuel Caño-García,
  • Morten A. Geday,
  • Manuel Gil-Valverde,
  • Xabier Quintana and
  • José M. Otón

Beilstein J. Nanotechnol. 2018, 9, 1573–1581, doi:10.3762/bjnano.9.149

Graphical Abstract
  • of a solvent were found to be superior for most nanoimprint applications. A large dispersion of the samples was found. Keywords: nanoimprint; oriented gradient; photoresist; polymer; replica; Introduction Although the basic principles behind nanoimprint lithography (NIL) have been known for many
  • to qualify any material as appropriate; therefore a larger than usual number of samples (more than 100) were prepared. Both, thermally curable and UV-curable materials have been tested: Ormostamp®, NOA81, SU8 photoresist, Microposit S1818 photoresist, and poly(methyl methacrylate) (PMMA). Ormostamp
  • nm. At the first glance, NOA81 is not conceived as a polymer for nanoimprint replication, yet the absence of solvent makes it a good candidate for replicating. SU8 2001: Epoxy-based negative photoresist from MicroChem. It must be spin-coated on a substrate. It employs solvent that must be removed in
PDF
Album
Full Research Paper
Published 28 May 2018

Optical near-field mapping of plasmonic nanostructures prepared by nanosphere lithography

  • Gitanjali Kolhatkar,
  • Alexandre Merlen,
  • Jiawei Zhang,
  • Chahinez Dab,
  • Gregory Q. Wallace,
  • François Lagugné-Labarthet and
  • Andreas Ruediger

Beilstein J. Nanotechnol. 2018, 9, 1536–1543, doi:10.3762/bjnano.9.144

Graphical Abstract
  • induced surface deformation at the hot spots upon laser illumination. The localization of the hot spots is then indirectly revealed in the subsequent AFM scanning of the surface [26]. Similarly, Murazawa et al. [30] spin-coated a pattern of gold nanorods with a photoresist before irradiating the sample
PDF
Album
Full Research Paper
Published 23 May 2018
Other Beilstein-Institut Open Science Activities