Search results

Search for "ALD" in Full Text gives 67 result(s) in Beilstein Journal of Nanotechnology.

Properties of tin oxide films grown by atomic layer deposition from tin tetraiodide and ozone

  • Kristjan Kalam,
  • Peeter Ritslaid,
  • Tanel Käämbre,
  • Aile Tamm and
  • Kaupo Kukli

Beilstein J. Nanotechnol. 2023, 14, 1085–1092, doi:10.3762/bjnano.14.89

Graphical Abstract
  • Kristjan Kalam Peeter Ritslaid Tanel Kaambre Aile Tamm Kaupo Kukli Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu, Estonia 10.3762/bjnano.14.89 Abstract Polycrystalline SnO2 thin films were grown by atomic layer deposition (ALD) on SiO2/Si(100) substrates from SnI4 and O3
  • precursor combinations for obtaining SnO2 in atomic layer deposition (ALD) processes [9]. Two of these processes have employed SnI4 as the metal precursor with either O2 [10][11][12][13][14] or H2O2 [10][11][15] as oxidizer. Of these two oxygen sources, O2 would be more desirable because with it a hydrogen
  • authors aim to provide a comprehensive description of said process and the resulting films. Experimental The films studied in this work were grown in a low-pressure flow-type ALD reactor [16]. Tin(IV) iodide, SnI4 (99.999%, Sigma-Aldrich), used as the tin precursor was evaporated at 83 °C from a half-open
PDF
Album
Supp Info
Full Research Paper
Published 13 Nov 2023

Low temperature atomic layer deposition of cobalt using dicobalt hexacarbonyl-1-heptyne as precursor

  • Mathias Franz,
  • Mahnaz Safian Jouzdani,
  • Lysann Kaßner,
  • Marcus Daniel,
  • Frank Stahr and
  • Stefan E. Schulz

Beilstein J. Nanotechnol. 2023, 14, 951–963, doi:10.3762/bjnano.14.78

Graphical Abstract
  • , Clemens-Winkler-Str. 6c, 09116 Chemnitz, Germany FAP Forschungs- und Applikationslabor Plasmatechnik GmbH, Gostritzer Str. 67B, 01217 Dresden, Germany 10.3762/bjnano.14.78 Abstract In this work, we present the development of an atomic layer deposition (ALD) process for metallic cobalt. The process
  • operates at low temperatures using dicobalt hexacarbonyl-1-heptyne [Co2(CO)6HC≡CC5H11] and hydrogen plasma. For this precursor an ALD window in the temperature range between 50 and 110 °C was determined with a constant deposition rate of approximately 0.1 Å/cycle. The upper limit of the ALD window is
  • defined by the onset of the decomposition of the precursor. In our case, decomposition occurs at temperatures of 125 °C and above, resulting in a film growth in chemical vapour deposition mode. The lower limit of the ALD window is around 35 °C, where the reduction of the precursor is incomplete. The
PDF
Album
Supp Info
Full Research Paper
Published 15 Sep 2023

Role of titanium and organic precursors in molecular layer deposition of “titanicone” hybrid materials

  • Arbresha Muriqi and
  • Michael Nolan

Beilstein J. Nanotechnol. 2022, 13, 1240–1255, doi:10.3762/bjnano.13.103

Graphical Abstract
  • (MLD) allows the deposition of these hybrid films using sequential, self-limiting reactions, similar to atomic layer deposition (ALD). In this paper, we use first principles density functional theory (DFT) to investigate the growth mechanism of titanium-containing hybrid organic–inorganic MLD films
  • organic–inorganic hybrid films for applications in several technological application areas, including packaging/encapsulation, electronics, batteries and biomedical applications [1][2][3][4]. MLD is very similar to the widely used atomic layer deposition (ALD) technique, which involves the fabrication of
  • inorganic films used extensively in photovoltaics, (nano)electronics, energy storage and catalysis [5][6][7][8]. Similarly to ALD, MLD is based on sequential self-limiting reactions of readily vaporized inorganic precursors but the second reactant is a highly volatile organic species. Thus, in contrast to
PDF
Album
Supp Info
Full Research Paper
Published 02 Nov 2022

Design of surface nanostructures for chirality sensing based on quartz crystal microbalance

  • Yinglin Ma,
  • Xiangyun Xiao and
  • Qingmin Ji

Beilstein J. Nanotechnol. 2022, 13, 1201–1219, doi:10.3762/bjnano.13.100

Graphical Abstract
  • (CH3)2}4] on SAMs of chiral molecules by using the atomic layer deposition (ALD) technique [120]. The specific selection effect was verified by QCM measurements using valine (Val) as the target analyte. The TiO2-SAMs films were shown to preferentially adsorb ᴅ-Val, suggesting a reliable chiral selector
PDF
Album
Review
Published 27 Oct 2022

Plasmon-enhanced photoluminescence from TiO2 and TeO2 thin films doped by Eu3+ for optoelectronic applications

  • Marcin Łapiński,
  • Jakub Czubek,
  • Katarzyna Drozdowska,
  • Anna Synak,
  • Wojciech Sadowski and
  • Barbara Kościelska

Beilstein J. Nanotechnol. 2021, 12, 1271–1278, doi:10.3762/bjnano.12.94

Graphical Abstract
  • thicknesses in a range of 2 to 8 nm was deposited by atomic layer deposition (ALD) using a Beneq TFS 200 ALD system. This method provides precise control over the thickness with atomic accuracy. Trimethylaluminum (Sigma-Aldrich) and purified water were used as precursors. The deposition of the atomic layers
PDF
Album
Full Research Paper
Published 22 Nov 2021

9.1% efficient zinc oxide/silicon solar cells on a 50 μm thick Si absorber

  • Rafal Pietruszka,
  • Bartlomiej S. Witkowski,
  • Monika Ozga,
  • Katarzyna Gwozdz,
  • Ewa Placzek-Popko and
  • Marek Godlewski

Beilstein J. Nanotechnol. 2021, 12, 766–774, doi:10.3762/bjnano.12.60

Graphical Abstract
  • environmentally friendly solar cells are cells based on zinc oxide (ZnO). ZnO thin films can be obtained using many technologies, including molecular beam epitaxy, RF magnetron sputtering, pulsed laser deposition, chemical vapor deposition, and atomic layer deposition (ALD) [3]. ALD attracts the attention of many
  • research groups. This technology was invented in the 1970s by Tuomo Suntola from Finland [4]. Thanks to the unique properties of this technology, materials produced by ALD quickly found a number of applications in PV. For example, ultrathin films of aluminium oxide (Al2O3) are used to passivate silicon
  • inexpensive alternatives for indium tin oxide (ITO) [9]. In this work, we used ALD to deposit zinc oxide nanoseeds, magnesium-doped zinc oxide (MZO) layers and aluminium-doped zinc oxide films. We thus continue our interest on photovoltaic structures based on thin films of ZnO. Recently, there have been
PDF
Album
Full Research Paper
Published 21 Jul 2021

Impact of GaAs(100) surface preparation on EQE of AZO/Al2O3/p-GaAs photovoltaic structures

  • Piotr Caban,
  • Rafał Pietruszka,
  • Jarosław Kaszewski,
  • Monika Ożga,
  • Bartłomiej S. Witkowski,
  • Krzysztof Kopalko,
  • Piotr Kuźmiuk,
  • Katarzyna Gwóźdź,
  • Ewa Płaczek-Popko,
  • Krystyna Lawniczak-Jablonska and
  • Marek Godlewski

Beilstein J. Nanotechnol. 2021, 12, 578–592, doi:10.3762/bjnano.12.48

Graphical Abstract
  • solution with no final passivation. Subsequent I–V measurements, however, confirmed that from these samples, only the sulfur-passivated ones provided the highest current density. The tested devices were fabricated by using the ALD method. Keywords: atomic layer deposition; external quantum efficiency
  • ; gallium arsenide; photovoltaics; surface passivation; Introduction The atomic layer deposition (ALD) method is used for silicon passivation in photovoltaics. In recent years we proposed the usage of ALD for the construction of simplified Si-based cells [1]. Once zinc oxide (ZnO) nanorods were employed as
  • deposition method is ALD [14][15]. Removal of native oxide layer and protection of such an obtained surface can be done in many ways. In the case of wet-etching techniques, the most popular GaAs native oxide etchants are based on acidic and basic solutions. In order to etch the oxide, one can treat the
PDF
Album
Full Research Paper
Published 28 Jun 2021

Mapping the local dielectric constant of a biological nanostructured system

  • Wescley Walison Valeriano,
  • Rodrigo Ribeiro Andrade,
  • Juan Pablo Vasco,
  • Angelo Malachias,
  • Bernardo Ruegger Almeida Neves,
  • Paulo Sergio Soares Guimarães and
  • Wagner Nunes Rodrigues

Beilstein J. Nanotechnol. 2021, 12, 139–150, doi:10.3762/bjnano.12.11

Graphical Abstract
  • defined disks of Al2O3 films with a radius of 5 µm deposited by ALD on Au/Cr (60 nm/20 nm)-coated silicon wafer pieces. The topographic image of an Al2O3 disk measured with AFM is shown in Figure 9a. The sample thickness was (21.0 ± 0.2) nm, relative to the gold surface. In the EFM mode, the microscope
  • al., εr = 9.2 [33], and Biercuk et al., εr = 9 [34], both results being from Al2O3 produced by ALD, and also with the reference value for the dielectric constant of Al2O3 [35]. Reflectance measurements For measurements of the reflectance spectra, a halogen lamp with a color temperature of 3200 K
PDF
Album
Full Research Paper
Published 28 Jan 2021

A review on the green and sustainable synthesis of silver nanoparticles and one-dimensional silver nanostructures

  • Sina Kaabipour and
  • Shohreh Hemmati

Beilstein J. Nanotechnol. 2021, 12, 102–136, doi:10.3762/bjnano.12.9

Graphical Abstract
  • deposition process. Chemical vapor deposition (CVD) and atomic layer deposition (ALD) are among other chemical methods for nanoparticle synthesis. CVD is a method that allows production of nanoparticles on a substrate [241]. The process consists of three steps. First, the addition of a volatile precursor in
PDF
Album
Review
Published 25 Jan 2021

Atomic layer deposited films of Al2O3 on fluorine-doped tin oxide electrodes: stability and barrier properties

  • Hana Krýsová,
  • Michael Neumann-Spallart,
  • Hana Tarábková,
  • Pavel Janda,
  • Ladislav Kavan and
  • Josef Krýsa

Beilstein J. Nanotechnol. 2021, 12, 24–34, doi:10.3762/bjnano.12.2

Graphical Abstract
  • found up to 24 h and even after 168 h of exposure the changes in the blocking behaviour were still minimal. This behaviour was also observed for protection against direct reduction of FTO. Keywords: Al2O3; atomic layer deposition (ALD); barrier properties; corrosion; electrochemistry; FTO
  • the topic of the present work, in which atomic layer deposition (ALD) is used as the coating technique [1]. This method is a gas-phase process which relies on a molecular approach. Therefore, a conformal coating, which reaches the pores and crevasses of the sample, can be obtained. Protective coating
  • of electrified interfaces is particularly challenging, because electron or hole transport through the coating must be maintained. Previously, ALD and other coating techniques have been shown to protect a semiconducting hematite electrode against corrosion and photocorrosion by using titanium dioxide
PDF
Album
Supp Info
Full Research Paper
Published 05 Jan 2021

Antimicrobial metal-based nanoparticles: a review on their synthesis, types and antimicrobial action

  • Matías Guerrero Correa,
  • Fernanda B. Martínez,
  • Cristian Patiño Vidal,
  • Camilo Streitt,
  • Juan Escrig and
  • Carol Lopez de Dicastillo

Beilstein J. Nanotechnol. 2020, 11, 1450–1469, doi:10.3762/bjnano.11.129

Graphical Abstract
  • thickness values of approximately 20 and 17 nm, respectively [38][39]. ALD has been recognized as a key technique used to deposit thin films on structures with complex geometries, allowing for the synthesis of nanostructures without shadowing effects and with a high aspect ratio, such as nanotubes with
PDF
Album
Review
Published 25 Sep 2020

Wafer-level integration of self-aligned high aspect ratio silicon 3D structures using the MACE method with Au, Pd, Pt, Cu, and Ir

  • Mathias Franz,
  • Romy Junghans,
  • Paul Schmitt,
  • Adriana Szeghalmi and
  • Stefan E. Schulz

Beilstein J. Nanotechnol. 2020, 11, 1439–1449, doi:10.3762/bjnano.11.128

Graphical Abstract
  • nanometre scale. The target film thickness was 5 nm and was adjusted using the sputtering rate. Figure 1 shows SEM images after the annealing process (Figure 1a–d) and the results of the particle distribution analysis (Figure 1f–j). Figure 1e shows the surface of the Ir sample directly after the ALD process
  • , which has to be fulfilled for a successful integration at the wafer level. Ir (after 45 ALD cycles) has agglomerated in small (less than 15 nm) particles, which are randomly distributed on the sample surface. Here, 16% of the surface is covered with Ir particles. As the deposition was done by atomic
  • layer deposition (ALD), one can assume that a wafer-level integration is feasible. Figure 2 shows the surface of a silicon die after 90 cycles of Ir ALD. In this deposition phase, small Ir particles are grown. The particles agglomerate and start to form a continuous film. However, there are still voids
PDF
Album
Full Research Paper
Published 23 Sep 2020

Atomic layer deposition for efficient oxygen evolution reaction at Pt/Ir catalyst layers

  • Stefanie Schlicht,
  • Korcan Percin,
  • Stefanie Kriescher,
  • André Hofer,
  • Claudia Weidlich,
  • Matthias Wessling and
  • Julien Bachmann

Beilstein J. Nanotechnol. 2020, 11, 952–959, doi:10.3762/bjnano.11.79

Graphical Abstract
  • of the salts followed by their thermal decomposition. In the alternative method, more academic in nature, atomic layer deposition (ALD) is applied to the felts after anodization. ALD allows for a controlled coating with ultralow noble-metal loadings in narrow pores. In acidic electrolyte, the ALD
  • approach yields improved mass activity (557 A·g−1 as compared to 80 A·g−1 at 0.39 V overpotential) on the basis of the noble-metal loading, as well as improved stability. Keywords: atomic layer deposition (ALD); oxygen evolution reaction (OER); redox flow battery; vanadium–air redox flow battery (VARFB
  • the Ti support and subsequent thermal decomposition to the corresponding elements [16][17][18]. As an academic method yielding better control of the electrode surface geometry, we perform an “anodization” of the Ti fibers to generate an ordered porous layer, followed by atomic layer deposition (ALD
PDF
Album
Full Research Paper
Published 22 Jun 2020

Band tail state related photoluminescence and photoresponse of ZnMgO solid solution nanostructured films

  • Vadim Morari,
  • Aida Pantazi,
  • Nicolai Curmei,
  • Vitalie Postolache,
  • Emil V. Rusu,
  • Marius Enachescu,
  • Ion M. Tiginyanu and
  • Veaceslav V. Ursaki

Beilstein J. Nanotechnol. 2020, 11, 899–910, doi:10.3762/bjnano.11.75

Graphical Abstract
  • radio-frequency plasma-assisted molecular beam epitaxy (RF-MBE) [2][7][10][11], DC [12][13] and RF [1][3][6] magnetron sputtering, pulsed laser deposition (PLD) [14][15], plasma-enhanced atomic layer deposition (PE-ALD) [16], chemical vapor deposition (CVD) [17], metal–organic chemical vapor deposition
PDF
Album
Full Research Paper
Published 12 Jun 2020

Effect of Ag loading position on the photocatalytic performance of TiO2 nanocolumn arrays

  • Jinghan Xu,
  • Yanqi Liu and
  • Yan Zhao

Beilstein J. Nanotechnol. 2020, 11, 717–728, doi:10.3762/bjnano.11.59

Graphical Abstract
  • deposition (ALD) and vacuum evaporation. The effects of the Ag loading position and deposition thickness, and the morphology, structure and composition of Ag-deposited TNC arrays on its optical and photocatalytic properties were studied. The Ag-filled TiO2 (AFT) nanocolumn arrays exhibited higher removal
  • template (AAO) has constituted an important advance in the field of film preparation, since it allows the formation of nanostructured films with a high degree of morphology control [22]. Furthermore, according to Das et al. [23], the use of atomic layer deposition (ALD) for the preparation of TiO2 films
  • theoretical optimal structure of the plasma metal–semiconductor heterojunction. Having this background in mind, we decided to prepare a TiO2 nanocolumn (TNC) structure by using AAO in combination with ALD, in which Ag particles could be selectively supported separately outside and inside the nanocolumns. Most
PDF
Album
Full Research Paper
Published 05 May 2020

DFT calculations of the structure and stability of copper clusters on MoS2

  • Cara-Lena Nies and
  • Michael Nolan

Beilstein J. Nanotechnol. 2020, 11, 391–406, doi:10.3762/bjnano.11.30

Graphical Abstract
  • enable the use of 2D materials in technology applications, processes have been developed to grow 2D materials via chemical vapour deposition (CVD) [16][17] and atomic layer deposition (ALD) [18][19]. The films prepared via thin film deposition were comparable in performance to materials obtained via
  • exfoliation. However, the scalability of CVD and ALD processes makes 2D materials grown via these methods more realistic for a wider range of applications [4]. Transition metal dichalcogenides (TMDs) are of particular interest as they exhibit a large variety of properties. TMDs such as MoS2 are intrinsic
PDF
Album
Supp Info
Full Research Paper
Published 26 Feb 2020

Abrupt elastic-to-plastic transition in pentagonal nanowires under bending

  • Sergei Vlassov,
  • Magnus Mets,
  • Boris Polyakov,
  • Jianjun Bian,
  • Leonid Dorogin and
  • Vahur Zadin

Beilstein J. Nanotechnol. 2019, 10, 2468–2476, doi:10.3762/bjnano.10.237

Graphical Abstract
  • extreme surface-to-volume ratio. If surface nucleation is mitigated by, for example, external materials (coating), the onset of plastic yield can be significantly postponed. Hence, we performed a few preliminary tests on Ag NWs coated by atomic layer deposition (ALD) with a few-nm thick layer of alumina
PDF
Album
Supp Info
Full Research Paper
Published 12 Dec 2019

Semitransparent Sb2S3 thin film solar cells by ultrasonic spray pyrolysis for use in solar windows

  • Jako S. Eensalu,
  • Atanas Katerski,
  • Erki Kärber,
  • Lothar Weinhardt,
  • Monika Blum,
  • Clemens Heske,
  • Wanli Yang,
  • Ilona Oja Acik and
  • Malle Krunks

Beilstein J. Nanotechnol. 2019, 10, 2396–2409, doi:10.3762/bjnano.10.230

Graphical Abstract
  • employing an 87 nm thick Sb2S3 thin film absorber grown by atomic layer deposition (ALD) [18], whereas a PCE of 4.25% was reported when using Sb2S3 layers grown by CBD [21]. Unfortunately, the Sb2O3 impurity phase, which is considered detrimental to PV performance, unavoidably forms in the bulk of the Sb2S3
  • both conventional ALD and CBD that require several hours to deposit 100 nm thick Sb2S3 films [18][20][21]. Regarding vacuum deposition methods, a PCE of 3.5% was achieved by thermally evaporating 700 nm of Sb2S3 onto planar CdS. The main drawbacks of thermal evaporation and conventional ALD as vacuum
PDF
Album
Supp Info
Full Research Paper
Published 06 Dec 2019

Facile synthesis of carbon nanotube-supported NiO//Fe2O3 for all-solid-state supercapacitors

  • Shengming Zhang,
  • Xuhui Wang,
  • Yan Li,
  • Xuemei Mu,
  • Yaxiong Zhang,
  • Jingwei Du,
  • Guo Liu,
  • Xiaohui Hua,
  • Yingzhuo Sheng,
  • Erqing Xie and
  • Zhenxing Zhang

Beilstein J. Nanotechnol. 2019, 10, 1923–1932, doi:10.3762/bjnano.10.188

Graphical Abstract
  • . deposited iron oxide on CNTs by atomic layer deposition (ALD) and the obtained CNTs@Fe2O3 presented a specific capacitance of 580.6 F·g−1 at 5 A·g−1 [21]. Zhang et al. used magnetron sputtering to prepare sandwich-like CNT@Fe2O3@C structures, and the composite exhibited a specific capacitance of 787.5 F·g−1
PDF
Album
Supp Info
Full Research Paper
Published 23 Sep 2019

Novel hollow titanium dioxide nanospheres with antimicrobial activity against resistant bacteria

  • Carol López de Dicastillo,
  • Cristian Patiño,
  • María José Galotto,
  • Yesseny Vásquez-Martínez,
  • Claudia Torrent,
  • Daniela Alburquenque,
  • Alejandro Pereira and
  • Juan Escrig

Beilstein J. Nanotechnol. 2019, 10, 1716–1725, doi:10.3762/bjnano.10.167

Graphical Abstract
  • [10][15]. In this work, the combination of electrospinning and atomic layer deposition (ALD) technologies are presented as an innovative strategy to develop titanium dioxide hollow nanospheres with controlled and homogeneous dimensions. Electrospinning is a technique able to produce different
  • solution and the type of polymer. On the other hand, ALD is a novel metal oxide deposition process with excellent thickness control due to its low temperature processing and separated superficial reactions between precursor materials. Precursors are pulsed one by one over a substrate in the chamber and
  • likewise purged to eliminate the unreacted substances and the by-product [18][19][20]. This is the first report on the development of metal oxide nanospheres synthesized using both electrospinning and ALD techniques. The resulting nanospheres were fully characterized by measuring the morphological
PDF
Album
Supp Info
Full Research Paper
Published 19 Aug 2019

Growth of lithium hydride thin films from solutions: Towards solution atomic layer deposition of lithiated films

  • Ivan Kundrata,
  • Karol Fröhlich,
  • Lubomír Vančo,
  • Matej Mičušík and
  • Julien Bachmann

Beilstein J. Nanotechnol. 2019, 10, 1443–1451, doi:10.3762/bjnano.10.142

Graphical Abstract
  • deposition (ALD) avoids these issues and is able to deposit conformal films on 3D substrates. However, ALD is limited in the range of chemical reactions, due to the required volatility of the precursors. Moreover, relatively high temperatures are necessary (above 100 °C), which can be detrimental to
  • spectroscopy and Auger spectroscopy analysis show the chemical identity of the decomposing air-sensitive films. Despite the air sensitivity of BuLi and LiH, making many standard measurements difficult, this work establishes the use of sALD to deposit LiH, a material inaccessible to conventional ALD, from
  • precursors and at temperatures not suitable for conventional ALD. Keywords: lithiated thin films; lithium hydride; solution atomic layer deposition (sALD); Introduction While the development of electric motors and semiconductor devices is progressing, the pressure on battery development is increasing
PDF
Album
Full Research Paper
Published 18 Jul 2019

On the transformation of “zincone”-like into porous ZnO thin films from sub-saturated plasma enhanced atomic layer deposition

  • Alberto Perrotta,
  • Julian Pilz,
  • Stefan Pachmajer,
  • Antonella Milella and
  • Anna Maria Coclite

Beilstein J. Nanotechnol. 2019, 10, 746–759, doi:10.3762/bjnano.10.74

Graphical Abstract
  • /bjnano.10.74 Abstract The synthesis of nanoporous ZnO thin films is achieved through annealing of zinc-alkoxide (“zincone”-like) layers obtained by plasma-enhanced atomic layer deposition (PE-ALD). The zincone-like layers are deposited through sub-saturated PE-ALD adopting diethylzinc and O2 plasma with
  • crystal growth occurred, giving insights in the manufacturing of nanoporous ZnO from Zn-based hybrid materials. Keywords: calcination; PE-ALD; porosity; thin films; ZnO; Introduction Atomic layer deposition (ALD) and molecular layer deposition (MLD) are sequential self-limiting vapor-phase deposition
  • substrates and devices. By combining the metalorganic precursors adopted in ALD with the organic ligands used in MLD, hybrid organic–inorganic materials can be synthesized [2][3][4]. These materials possess properties in between their pure organic and inorganic counterparts, yet differing from the pristine
PDF
Album
Supp Info
Full Research Paper
Published 21 Mar 2019

Biocompatible organic–inorganic hybrid materials based on nucleobases and titanium developed by molecular layer deposition

  • Leva Momtazi,
  • Henrik H. Sønsteby and
  • Ola Nilsen

Beilstein J. Nanotechnol. 2019, 10, 399–411, doi:10.3762/bjnano.10.39

Graphical Abstract
  • .; Eidet, J. R. J. Biomed. Mater. Res., Part A 2018, 106, 3090–3098. doi:10.1002/jbm.a.36499]. The growth was followed by in situ quartz crystal microbalance (QCM) measurements and all systems exhibited atomic layer deposition (ALD) type of growth. The adenine system has an ALD temperature window between
  • refraction. Keywords: ALD; bioactive materials; hybrid materials; MLD; nucleobases; Introduction There is an ever-increasing interest in organometallic compounds in the field of medicinal chemistry. Organometallic complexes are now being developed as anticancer agents, radiopharmaceuticals for diagnosis
  • response [9]. Thus, the tailoring of the surface of materials used in tissue engineering is important for designing bioactive and biocompatible materials. Our choice is the atomic layer deposition/molecular layer deposition (ALD/MLD) technique by which organic–inorganic materials are developed through the
PDF
Album
Supp Info
Full Research Paper
Published 08 Feb 2019

Uniform Sb2S3 optical coatings by chemical spray method

  • Jako S. Eensalu,
  • Atanas Katerski,
  • Erki Kärber,
  • Ilona Oja Acik,
  • Arvo Mere and
  • Malle Krunks

Beilstein J. Nanotechnol. 2019, 10, 198–210, doi:10.3762/bjnano.10.18

Graphical Abstract
  • chemical bath deposition (CBD) [3][4], spin coating [5], atomic layer deposition (ALD) [6] or chemical spray pyrolysis (CSP) [7] method, has been applied in extremely thin absorber (ETA) solar cells due to its excellent absorption coefficient in the visible light spectrum (1.8 × 105 cm−1 at 450 nm) [1][2
PDF
Album
Supp Info
Full Research Paper
Published 15 Jan 2019

Electrostatic force microscopy for the accurate characterization of interphases in nanocomposites

  • Diana El Khoury,
  • Richard Arinero,
  • Jean-Charles Laurentie,
  • Mikhaël Bechelany,
  • Michel Ramonda and
  • Jérôme Castellon

Beilstein J. Nanotechnol. 2018, 9, 2999–3012, doi:10.3762/bjnano.9.279

Graphical Abstract
  • nm) were deposited or grown over the whole sample surface. Aluminum oxide (Al2O3) shells were prepared using the atomic layer deposition (ALD) method, polyvinyl acetate (PVAc) shells by spin coating, and silicon dioxide (SiO2) shells by plasma sputtering deposition (PSD). The signature of each
  • thickness. For this reason, SiO2 was used instead of PVAc because it can be deposited by PSD. This method can precisely and homogeneously spread SiO2 molecules over the whole sample surface, quite similar to ALD, as explained in the Experimental section [40]. In approach 2 (Figure 3), the signal between the
  • by ALD (Al2O3) and PSD (SiO2) and the calibration of the SiO2 and Al2O3 layers, stacking layers of SiO2 or Al2O3 over PS for detecting the intermediate material becomes relevant. The second main step aimed for addressing the interphase detection by comparing the EFM response of PS + 50 nm Al2O3 + 50
PDF
Album
Full Research Paper
Published 07 Dec 2018
Other Beilstein-Institut Open Science Activities