Search results

Search for "image" in Full Text gives 1350 result(s) in Beilstein Journal of Nanotechnology. Showing first 200.

Sidewall angle tuning in focused electron beam-induced processing

  • Sangeetha Hari,
  • Willem F. van Dorp,
  • Johannes J. L. Mulders,
  • Piet H. F. Trompenaars,
  • Pieter Kruit and
  • Cornelis W. Hagen

Beilstein J. Nanotechnol. 2024, 15, 447–456, doi:10.3762/bjnano.15.40

Graphical Abstract
  • using focused ion beam (FIB) milling and shown as an electron tilt image in Figure 1b, clearly demonstrates the Gaussian shape. For lithography applications, however, both the long tails and the Gaussian cross section are highly undesirable. The tails may form interconnects to neighboring lines, and the
  • functioned as the reference. The lines were etched serially from left to right. The distance between the deposits was chosen to be larger than the range of the BSE electrons so that each deposit could be etched independently. Figure 3b shows a quick-scan top view SE image of the array after etching
  • SE image. A 200 nm thick carbon FEBID deposit was fabricated, which would be thick enough to image with SE as well as using FIB cross sectioning. The top view SE image and cross section of the reference structure are shown in Figure 7. Such a deposit was then exposed to FEBIE at both sidewalls. A
PDF
Album
Supp Info
Full Research Paper
Published 23 Apr 2024

Heat-induced morphological changes in silver nanowires deposited on a patterned silicon substrate

  • Elyad Damerchi,
  • Sven Oras,
  • Edgars Butanovs,
  • Allar Liivlaid,
  • Mikk Antsov,
  • Boris Polyakov,
  • Annamarija Trausa,
  • Veronika Zadin,
  • Andreas Kyritsakis,
  • Loïc Vidal,
  • Karine Mougin,
  • Siim Pikker and
  • Sergei Vlassov

Beilstein J. Nanotechnol. 2024, 15, 435–446, doi:10.3762/bjnano.15.39

Graphical Abstract
  • plane (upwards or downwards) it will not be visible in the SEM image. If a NW is contacting one or more NWs, then heat-induced redistribution of silver atoms between the NWs is often observed, resulting in thickening and shortening of all the contacting NWs (Supporting Information File 1, Figure S5
  • one image. : Necking and splitting of Ag NWs in the heating scheme 1 after treatment at 375 °C. SEM images of Ag NWs after a single-step heat treatment (heating scheme 2) at 400 °C. Fragmentation of NWs happened almost exclusively in the adhered parts. Average values of splits per length unit in
  • fragmentation of NWs during the heat treatment. Molecular dynamics model of the NW: (a) initial conditions, (b) results of the compression cycle, (c) results of the tensile deformation; (d) amorphization of the central part of the NW as a result of heat-treatment cycles: TEM image (left) and MD simulation frame
PDF
Album
Supp Info
Full Research Paper
Published 22 Apr 2024

Potential of a deep eutectic solvent in silver nanoparticle fabrication for antibiotic residue detection

  • Le Hong Tho,
  • Bui Xuan Khuyen,
  • Ngoc Xuan Dat Mai and
  • Nhu Hoa Thi Tran

Beilstein J. Nanotechnol. 2024, 15, 426–434, doi:10.3762/bjnano.15.38

Graphical Abstract
  • peaks of SDZ are as follows: Although the consistency in SERS signals recorded on the Ag NPs-DES substrate has been investigated with 10−6 M NFT, we need to evaluate the SERS mapping image of SDZ to ensure the stability of our substrate when analytes are changed. Hence, 10−5 M of SDZ was added dropwise
PDF
Album
Full Research Paper
Published 16 Apr 2024

Unveiling the nature of atomic defects in graphene on a metal surface

  • Karl Rothe,
  • Nicolas Néel and
  • Jörg Kröger

Beilstein J. Nanotechnol. 2024, 15, 416–425, doi:10.3762/bjnano.15.37

Graphical Abstract
  • depicted in the bottom inset to Figure 1a, which shows an atomically resolved STM image of graphene. Mounds of the moiré pattern appear as depressions, while valleys show bright contrast at the specific tunneling parameters used for the STM topograph in Figure 1a. At higher tunneling currents, a contrast
  • evidenced by shifts of the point of maximum attraction and hysteresis loops in force spectroscopy experiments. Scanning tunneling microscopy and spectroscopy of defect types 1 and 2 in graphene on Ir(111). (a) Constant-current STM image of Ar+-bombarded graphene (bias voltage: 100 mV, tunneling current: 50
  • image and (b) simultaneously recorded tunneling current map of two adjacent defects (10 mV, 3.6 nm × 3.6 nm). (c),(d) As (a),(b) for a type-2 defect (10 mV, 2.5 nm × 2.5 nm). The relevant defects in (a–d) are encircled by a dashed line. The gray scale (from dark to bright) covers changes in the
PDF
Album
Supp Info
Full Research Paper
Published 15 Apr 2024

Classification and application of metal-based nanoantioxidants in medicine and healthcare

  • Nguyen Nhat Nam,
  • Nguyen Khoi Song Tran,
  • Tan Tai Nguyen,
  • Nguyen Ngoc Trai,
  • Nguyen Phuong Thuy,
  • Hoang Dang Khoa Do,
  • Nhu Hoa Thi Tran and
  • Kieu The Loan Trinh

Beilstein J. Nanotechnol. 2024, 15, 396–415, doi:10.3762/bjnano.15.36

Graphical Abstract
PDF
Album
Review
Published 12 Apr 2024

Investigating ripple pattern formation and damage profiles in Si and Ge induced by 100 keV Ar+ ion beam: a comparative study

  • Indra Sulania,
  • Harpreet Sondhi,
  • Tanuj Kumar,
  • Sunil Ojha,
  • G R Umapathy,
  • Ambuj Mishra,
  • Ambuj Tripathi,
  • Richa Krishna,
  • Devesh Kumar Avasthi and
  • Yogendra Kumar Mishra

Beilstein J. Nanotechnol. 2024, 15, 367–375, doi:10.3762/bjnano.15.33

Graphical Abstract
  • analysis of Si and Ge samples irradiated with a fluence of 9 × 1017 ions/cm2 was performed in cross-sectional mode. The TEM image clearly reveals the surface modification occurred due to Ar ion irradiation. At fewer places, Ar bubbles of ≈15 nm were also visible (marked with a dotted section) in Si. It was
PDF
Album
Supp Info
Full Research Paper
Published 05 Apr 2024

Modulated critical currents of spin-transfer torque-induced resistance changes in NiCu/Cu multilayered nanowires

  • Mengqi Fu,
  • Roman Hartmann,
  • Julian Braun,
  • Sergej Andreev,
  • Torsten Pietsch and
  • Elke Scheer

Beilstein J. Nanotechnol. 2024, 15, 360–366, doi:10.3762/bjnano.15.32

Graphical Abstract
  • . Therefore, the fabrication process of 3D devices becomes easier. Figure 2a shows a SEM image of nanowires after removing the AAO template and top electrodes by diluted NaOH solution. Each nanowire consists of multiple NiCu layers of different thickness that are separated by thin Cu layers (denoted as Cu
  • STT-induced features can be interpreted as asynchronous changes of the magnetization direction of different NiCu layers as well as spin accumulation at different interfaces. (a) SEM image after nanowire deposition and surface polishing. The bright dots represent the deposited nanowires the tops of
  • which are near the surface of the AAO template. (b) SEM image of nanowire-based devices. The measured nanowire array was contacted by the patterned Au bottom electrode and the Al top electrode. (c) Sketch of the cross section of the device. (a) SEM image of nanowires after the AAO template was removed
PDF
Album
Supp Info
Full Research Paper
Published 03 Apr 2024

Controllable physicochemical properties of WOx thin films grown under glancing angle

  • Rupam Mandal,
  • Aparajita Mandal,
  • Alapan Dutta,
  • Rengasamy Sivakumar,
  • Sanjeev Kumar Srivastava and
  • Tapobrata Som

Beilstein J. Nanotechnol. 2024, 15, 350–359, doi:10.3762/bjnano.15.31

Graphical Abstract
  • uniformity. WSxM software was used to carry out AFM image analysis. Kelvin probe force microscopy (KPFM) was used to study the local work function of the WOx films. WOx samples were removed from the high-vacuum environment right before the KPFM measurements to avoid any contamination in air. For KPFM
PDF
Album
Supp Info
Full Research Paper
Published 02 Apr 2024

Comparative electron microscopy particle sizing of TiO2 pigments: sample preparation and measurement

  • Ralf Theissmann,
  • Christopher Drury,
  • Markus Rohe,
  • Thomas Koch,
  • Jochen Winkler and
  • Petr Pikal

Beilstein J. Nanotechnol. 2024, 15, 317–332, doi:10.3762/bjnano.15.29

Graphical Abstract
  • particles on each image, and to correctly identify the particle edges. Effective image acquisition and analysis requires training and routine performance checks for both the personnel capturing the images and those interpreting them. Results Electron microscopy measurements of E171 samples and related
  • samples in 2023. Three of the reported measurements were made by SEM and three by TEM. The following three images (Figures 3–5) illustrate typical images used for the different methods. A top-view SEM image is shown in Figure 3 (Precheza M2, Venator M3), Figure 4 is an example of a TEM image (RCPTM, P1
  • , P6), and Figure 5 is a cross-section SEM image (KRONOS M1). The particle size distributions measured with each manufacturer’s method are remarkably similar, as shown in Figure 1. The D50n values are close, but the tails of the distributions vary slightly, especially in the cases where a small number
PDF
Album
Supp Info
Full Research Paper
Published 25 Mar 2024

Design, fabrication, and characterization of kinetic-inductive force sensors for scanning probe applications

  • August K. Roos,
  • Ermes Scarano,
  • Elisabet K. Arvidsson,
  • Erik Holmgren and
  • David B. Haviland

Beilstein J. Nanotechnol. 2024, 15, 242–255, doi:10.3762/bjnano.15.23

Graphical Abstract
  • microscopy (SPM), the tip plays a fundamental role in the achievable lateral resolution of the image. The focused electron-beam induced deposition (FEBID) [34] technique has been adapted to fabricate tips for SPM, for example, to enhance commercial platinum–iridium alloy (Pt-Ir)-coated conductive tips [35
  • by setting the deposition height to 10 μm. Defocusing of the electron spot during vertical growth naturally forms a narrowing conical structure. At the apex of this cone, we routinely achieve a curvature radius of less than 10 nm, as verified by the SEM image in Figure 6c. Finally, we deposit a thin
  • direction. (a) Scanning electron microscope (SEM) image of a fabricated sensor seen from an angled topside view. The cantilever is formed from a Si-N plate protruding from and supported by a Si substrate. A thin film of Nb-Ti-N is deposited on top of the Si-N and patterned to form the microwave resonator. A
PDF
Album
Full Research Paper
Published 15 Feb 2024

Quantitative wear evaluation of tips based on sharp structures

  • Ke Xu and
  • Houwen Leng

Beilstein J. Nanotechnol. 2024, 15, 230–241, doi:10.3762/bjnano.15.22

Graphical Abstract
  • surface topography [7]. A sharper needle tip leads to more accurate measurements [8]. During the scanning process, tip and sample come into mutual contact, causing wear on the tip [9]. Tip wear or damage in practical applications can have severe consequences, including reduced image quality and erroneous
  • . Orji et al. [14] utilized a transmission electron microscope (TEM) to image a tip and derived its tapered shape from the TEM image. Electron microscopic observation offers the advantages of high precision and resolution, enabling accurate acquisition of morphological information about the tip. However
  • indicator of tip wear, but also used the surface roughness (Ra) to represent the degree of image deterioration to evaluate the degree of probe wear. It was concluded that a high free amplitude and a set point of 0.5 increase probe wear, while a set point of 0.6 reduces tip wear; the scanning speed does not
PDF
Album
Full Research Paper
Published 14 Feb 2024

Ion beam processing of DNA origami nanostructures

  • Leo Sala,
  • Agnes Zerolová,
  • Violaine Vizcaino,
  • Alain Mery,
  • Alicja Domaracka,
  • Hermann Rothard,
  • Philippe Boduch,
  • Dominik Pinkas and
  • Jaroslav Kocišek

Beilstein J. Nanotechnol. 2024, 15, 207–214, doi:10.3762/bjnano.15.20

Graphical Abstract
  • , we only evaluated samples on Si. AFM imaging was used to check and subsequently analyze the irradiated samples. The imaging was performed in air using a Dimension Icon AFM (Bruker) in ScanAsyst mode which employs PeakForce Tapping Technology and ScanAsyst probes (40 kHz, 0.4 N/m). Image processing
  • irradiated samples with the height profiles of one side of representative nanotriangles at each fluence level plotted at the bottom of each image. The relative mean height of the nanotriangles in comparison to the unirradiated control sample is plotted in Figure 2A as a function of the fluence. We associate
  • increasing fluences of 56Fe25+ ions with height profiles of representative triangles shown at the bottom of each image. A height map at higher magnification is also presented in Figure 1D, and the relative height increase is plotted as a function of the fluence in Figure 2B. In this irradiation experiment
PDF
Album
Supp Info
Full Research Paper
Published 12 Feb 2024

Graphene removal by water-assisted focused electron-beam-induced etching – unveiling the dose and dwell time impact on the etch profile and topographical changes in SiO2 substrates

  • Aleksandra Szkudlarek,
  • Jan M. Michalik,
  • Inés Serrano-Esparza,
  • Zdeněk Nováček,
  • Veronika Novotná,
  • Piotr Ozga,
  • Czesław Kapusta and
  • José María De Teresa

Beilstein J. Nanotechnol. 2024, 15, 190–198, doi:10.3762/bjnano.15.18

Graphical Abstract
  • be optimized to prevent unnecessary defects and reduce the detrimental impact on the underlying substrate. The optical microscope image of the graphene flake before the patterning process is shown in Figure 2A. The size of the etched lines, estimated based on SEM measurements, is usually smaller than
  • 50 nm (20 nm in the best case). However, due to the long residual time of the water molecules inside the SEM chamber, the collection of an image can further destroy the investigated material. Therefore, we performed a second series of experiments for a detailed analysis with Raman spectroscopy and
  • that with certain precautions water-assisted FEBIE can be applied for such a nanopatterning process. The experimental data obtained with scanning Raman spectroscopy, correlative probe and electron microscopy, and in situ AFM measurements provide a comprehensive image of FEBIE etch profiles. In addition
PDF
Album
Full Research Paper
Published 07 Feb 2024

Nanocarrier systems loaded with IR780, iron oxide nanoparticles and chlorambucil for cancer theragnostics

  • Phuong-Thao Dang-Luong,
  • Hong-Phuc Nguyen,
  • Loc Le-Tuan,
  • Xuan-Thang Cao,
  • Vy Tran-Anh and
  • Hieu Vu Quang

Beilstein J. Nanotechnol. 2024, 15, 180–189, doi:10.3762/bjnano.15.17

Graphical Abstract
  • . Then, the signals were normalized to the signal of PVA@NP/Cou-6 for comparison. The fluorescence image of the NPs in the cells were displayed in Supporting Information File 1, Supplementary data 2 and Figure S2. Cytotoxicity effects of nanoparticles The cells were seeded onto 96-well plates at 5,000
PDF
Album
Supp Info
Full Research Paper
Published 06 Feb 2024

Enhanced feedback performance in off-resonance AFM modes through pulse train sampling

  • Mustafa Kangül,
  • Navid Asmari,
  • Santiago H. Andany,
  • Marcos Penedo and
  • Georg E. Fantner

Beilstein J. Nanotechnol. 2024, 15, 134–143, doi:10.3762/bjnano.15.13

Graphical Abstract
  • therefore the imaging speed. To overcome these limitations, we introduce an alternative control technique that takes advantage of the non-zero contact duration, enabling an enhanced force control. This method provides rapid control of the maximum force, resulting in better image quality at faster scan rates
  • examine the system response. A peak-to-peak ORT actuation of 50 nm at 2 kHz rate was applied to the Z piezo. We have mounted a Fastscan-A (Bruker) cantilever, setting the scan rate to 4 Hz. The acquired image has 250 × 250 pixels so both trace and retrace images have 1 ORT cycle per pixel. Integral gains
  • high-frequency disturbances, but also in improving tracking of lower-frequency components of the sample topography. Extracting mechanical properties from the interaction curves is one of the most powerful capabilities of ORT techniques. Together with the topographical image, they provide material
PDF
Album
Supp Info
Full Research Paper
Published 01 Feb 2024

New application of bimetallic Ag/Pt nanoplates in a colorimetric biosensor for specific detection of E. coli in water

  • Azam Bagheri Pebdeni,
  • Mohammad N. AL-Baiati and
  • Morteza Hosseini

Beilstein J. Nanotechnol. 2024, 15, 95–103, doi:10.3762/bjnano.15.9

Graphical Abstract
  • that, one drop of the E. coli-contaminated sample was put onto a paper chip. The final stage in the paper evaluation was to add 6 µL of H2O2 and 6 µL of TMB to paper chips. The ImageJ software was utilized to evaluate the intensity of the resulting blue color. a) The SEM image AND b) EDS analysis of Ag
  • /Pt NPL. a) Absorbance spectra of Ag/Pt NPL after the addition of TMB, TMB and H2O2, NPL, and aptamer-NPL in the presence of TMB-H2O2. b) Image related to the color change of the proposed NPL, c) the zeta potential of NPL, aptamer-NPL, E. coli bacteria, and NPL-E. coli. a) The calibration curve of
PDF
Album
Supp Info
Full Research Paper
Published 17 Jan 2024

Study of the reusability and stability of nylon nanofibres as an antibody immobilisation surface

  • Inés Peraile,
  • Matilde Gil-García,
  • Laura González-López,
  • Nushin A. Dabbagh-Escalante,
  • Juan C. Cabria-Ramos and
  • Paloma Lorenzo-Lozano

Beilstein J. Nanotechnol. 2024, 15, 83–94, doi:10.3762/bjnano.15.8

Graphical Abstract
  • immunocapture system in NF and 96-well microplate, for each time: ***p < 0.001. Field-emission scanning electron microscopy image of NFs. Acknowledgements We would like to thank the whole team at Nieves Murillo of TECNALIA – Industry and Transport Division for providing the nylon NFs. Funding These results
PDF
Album
Full Research Paper
Published 15 Jan 2024

Berberine-loaded polylactic acid nanofiber scaffold as a drug delivery system: The relationship between chemical characteristics, drug-release behavior, and antibacterial efficiency

  • Le Thi Le,
  • Hue Thi Nguyen,
  • Liem Thanh Nguyen,
  • Huy Quang Tran and
  • Thuy Thi Thu Nguyen

Beilstein J. Nanotechnol. 2024, 15, 71–82, doi:10.3762/bjnano.15.7

Graphical Abstract
  • ImageJ software as an image analysis tool. Fourier-transform infrared spectroscopy was performed in a Nicolet NEXUS 670 spectrometer. The resulting spectra were recorded in transmission mode in the wavelength range of 500–4000 cm−1. A Raman spectrometer (MacroRAM, Horiba) was used to investigate the
  • contact angles of the electrospun scaffolds were measured using a Samsung FACED camera (Korea). A drop of bidistilled water was placed on the flat surface of the electrospun scaffold and then a digital image of the drop was taken for measuring the value of the contact angle using an image processing
  • inverted microscope every 24 h. Statistical analysis The data were reported as mean values ± standard deviations. Statistical analysis of antibacterial data was performed using one-way analysis of variance (ANOVA). A p-value of less than 0.05 was considered statistically significant. (a) SEM image of BBR
PDF
Album
Supp Info
Full Research Paper
Published 12 Jan 2024

Measurements of dichroic bow-tie antenna arrays with integrated cold-electron bolometers using YBCO oscillators

  • Leonid S. Revin,
  • Dmitry A. Pimanov,
  • Alexander V. Chiginev,
  • Anton V. Blagodatkin,
  • Viktor O. Zbrozhek,
  • Andrey V. Samartsev,
  • Anastasia N. Orlova,
  • Dmitry V. Masterov,
  • Alexey E. Parafin,
  • Victoria Yu. Safonova,
  • Anna V. Gordeeva,
  • Andrey L. Pankratov,
  • Leonid S. Kuzmin,
  • Anatolie S. Sidorenko,
  • Silvia Masi and
  • Paolo de Bernardis

Beilstein J. Nanotechnol. 2024, 15, 26–36, doi:10.3762/bjnano.15.3

Graphical Abstract
  • a substrate thickness of 0.29 mm seem to be closer to the experimental results, see Measurement results section below. (a) Design of the sample of LSPE VB 210/240 from the SINS1 series. (b) Optical photo of the sample of LSPE VB 210/240 from the SINS1 series. (a) SEM image of the LSPE sample; bow
  • -tie antennas are visible. (b) SEM image where the required elements are painted with pseudocolors; red: normal metal absorber, green: SN contact, and blue: SIN tunnel junctions. I–V characteristics of two receiving structures of a sample receiving system from the LSPE VB 210/240 SINS1 series. Measured
PDF
Album
Full Research Paper
Published 04 Jan 2024

TEM sample preparation of lithographically patterned permalloy nanostructures on silicon nitride membranes

  • Joshua Williams,
  • Michael I. Faley,
  • Joseph Vimal Vas,
  • Peng-Han Lu and
  • Rafal E. Dunin-Borkowski

Beilstein J. Nanotechnol. 2024, 15, 1–12, doi:10.3762/bjnano.15.1

Graphical Abstract
  • deflected by the circularly oriented magnetic fields. The magnetic contrast can hardly be observed when the image is in-focus but becomes more visible when the image is defocused. On one side of the focus, the magnetization of the vortex deflects the electron beams inwards, which then overlaps and results
  • sample and a coherently tilted reference plane wave. The intensity of the hologram can be represented in the form of where Ψi(r) stands for the electron wavefunction in the image plane i with amplitude Ai and phase φi, r is a two-dimensional vector in the sample plane, and q is the two-dimensional
  • -off or IBE methods on the bulk substrate. Then we protected the nanostructure with a resist and patterned AZ®5214E resist on the back side of the substrate using the image reversal technique. A window in the SiN buffer layer was prepared by ion beam etching through the aperture in the mask of AZ®5214E
PDF
Album
Supp Info
Full Research Paper
Published 02 Jan 2024

unDrift: A versatile software for fast offline SPM image drift correction

  • Tobias Dickbreder,
  • Franziska Sabath,
  • Lukas Höltkemeier,
  • Ralf Bechstein and
  • Angelika Kühnle

Beilstein J. Nanotechnol. 2023, 14, 1225–1237, doi:10.3762/bjnano.14.101

Graphical Abstract
  • for drift during the measurement (online drift correction) or afterwards (offline drift correction). With the currently available software tools, however, offline drift correction of SPM data is often a tedious and time-consuming task. This is particularly disadvantageous when analyzing long image
  • determine the drift velocity from the apparent movement of stationary features either by automatic evaluation of the cross-correlation image or based on positions identified manually by the user. We demonstrate the performance and reliability of unDrift using three challenging examples, namely images
  • force microscopy; calibration; drift correction; image correlation functions; periodic structures; scanning probe microscopy; Introduction In science and technology, scanning probe microscopy (SPM) techniques are widely used to study the structure and properties of surfaces and interfaces from the
PDF
Album
Supp Info
Full Research Paper
Published 28 Dec 2023

Fluorescent bioinspired albumin/polydopamine nanoparticles and their interactions with Escherichia coli cells

  • Eloïse Equy,
  • Jordana Hirtzel,
  • Sophie Hellé,
  • Béatrice Heurtault,
  • Eric Mathieu,
  • Morgane Rabineau,
  • Vincent Ball and
  • Lydie Ploux

Beilstein J. Nanotechnol. 2023, 14, 1208–1224, doi:10.3762/bjnano.14.100

Graphical Abstract
  • (inspired from [14]). (e) Possible locations of organic nanoparticles in bacterial cells. Figure 1c was adapted with permission from [13]. Copyright 2018 American Chemical Society. This content is not subject to CC BY 4.0. (a) Photographic image of a dopamine solution. Main reactions leading to an auto
PDF
Album
Supp Info
Full Research Paper
Published 22 Dec 2023

Determination of the radii of coated and uncoated silicon AFM sharp tips using a height calibration standard grating and a nonlinear regression function

  • Perawat Boonpuek and
  • Jonathan R. Felts

Beilstein J. Nanotechnol. 2023, 14, 1200–1207, doi:10.3762/bjnano.14.99

Graphical Abstract
  • force curves with contact mechanics models and extracting the adhesion and friction forces [5][6]. If we do not know the exact value of the tip radius, the sample image with the observation of scanning frequency and the calculation results are not accurate. This indicates that the measurement results
PDF
Album
Supp Info
Full Research Paper
Published 15 Dec 2023

A combined gas-phase dissociative ionization, dissociative electron attachment and deposition study on the potential FEBID precursor [Au(CH3)2Cl]2

  • Elif Bilgilisoy,
  • Ali Kamali,
  • Thomas Xaver Gentner,
  • Gerd Ballmann,
  • Sjoerd Harder,
  • Hans-Peter Steinrück,
  • Hubertus Marbach and
  • Oddur Ingólfsson

Beilstein J. Nanotechnol. 2023, 14, 1178–1199, doi:10.3762/bjnano.14.98

Graphical Abstract
  • ). Figure 1a depicts an SEM image of the FEBID deposit created with an electron exposure of 7.80 C/cm2. The position of the corresponding AES analysis is marked in Figure 1a by a green-colored star. The AES spectra acquired on the bare substrate and the deposit are shown in Figure 1b. On the pristine SiO2
  • selected area of the SEM image shown in Figure 1a is depicted in Figure 1c, where nanoparticles in the deposition are noticeable, although the picture is somewhat blurry. To better visualize the observed nanoparticles, a background subtraction was performed with the image enhancement program ImageJ [35
  • ]. The image after the background subtraction is shown in Figure 1d, where the particles can be more clearly distinguished. After background subtraction, some of the deposited nanoparticles appear facetted; however, the majority are spherical. HAADF-STEM on FEBID (SiO2 (500 nm)/Si(111)) As a next step
PDF
Album
Supp Info
Full Research Paper
Published 06 Dec 2023

Spatial variations of conductivity of self-assembled monolayers of dodecanethiol on Au/mica and Au/Si substrates

  • Julian Skolaut,
  • Jędrzej Tepper,
  • Federica Galli,
  • Wulf Wulfhekel and
  • Jan M. van Ruitenbeek

Beilstein J. Nanotechnol. 2023, 14, 1169–1177, doi:10.3762/bjnano.14.97

Graphical Abstract
  • . In this mode of CAFM operation, a force–distance curve is measured at every pixel of the image. The tip is approached until a certain bend of the cantilever is reached, corresponding to the force setpoint Fsetpoint. Plotting the z position at which the force setpoint is reached provides the
  • Figure 2b show the topography and the current map, respectively, for a Au/mica substrate. The 300 × 300 nm2 topography map shows that the Au/mica substrate has large flat areas on which the height does not change significantly. The overall change in height throughout the image is approximately 4 nm, and
  • the most significant changes in height occur at the boundaries between different flat areas. The corresponding current map (Figure 2b) shows a nearly homogeneous distribution of the current throughout the whole image, where the current takes on well-measurable values distributed around 200 pA. Only
PDF
Album
Supp Info
Full Research Paper
Published 05 Dec 2023
Other Beilstein-Institut Open Science Activities